QuartusII+ModelsimAltera仿真

QuartusII+ModelsimAltera仿真

ID:36601478

大小:462.10 KB

页数:12页

时间:2019-05-09

QuartusII+ModelsimAltera仿真_第1页
QuartusII+ModelsimAltera仿真_第2页
QuartusII+ModelsimAltera仿真_第3页
QuartusII+ModelsimAltera仿真_第4页
QuartusII+ModelsimAltera仿真_第5页
资源描述:

《QuartusII+ModelsimAltera仿真》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、FPGA数字设计仿真任胜兵数字设计验证对一个成功的数字设计项目而言,设计工程师和验证工程师的人数相当,有时验证工程师达两倍多。设计过程:将一组设计规范转换为规范实现的过程。验证过程:确认是现方案是否满足设计规范的过程。验证方法:基于模拟的验证和基于形式化的验证。基于模拟的验证设计被置于一个测试基准下,把输入激励施加于测试基准,从设计获得输出并将它与参考输出进行比较。要素:输入激励、测试基准、输出比较模拟方式:simulator和emulatorQuartusII与ModelSim结合仿真功能仿真:又称前仿真

2、,不考虑时延,检查功能的正确性。综合后仿真:把综合生成的标准延时反标注到综合仿真模型去,可估计门延时带来的影响,但是只能估计门延时,不能估计线延时。(不十分准确,不做)时序仿真:布局布线后生成的仿真延时文件最全,不仅包括门延时,还包括布线延时,所以最为准确,能较好的反映芯片的实际工作情况。一般来说,布局布线必须进行,以此确保设计的可靠性和稳定性,发现时序违规功能仿真仿真工具设置:ProjectNavigator下右键选择SettingsQII主菜单Tools/Options进入测试基准自动生成自动在simu

3、lation目录下生成*.vt文件,自己再修改,添加激励。测试基准设置ProjectNavigator下右键选择Settings下的NativeLinkSettings执行功能仿真功能仿真结果时序仿真执行时序仿真PVT:P---ProcessV---VoltageT---Temperature调试增加仿真时间,如:VISM2>run20ns仿真其他功能

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。