基于quartusii11.0的modelsim仿真

基于quartusii11.0的modelsim仿真

ID:37080871

大小:576.00 KB

页数:15页

时间:2019-05-17

基于quartusii11.0的modelsim仿真_第1页
基于quartusii11.0的modelsim仿真_第2页
基于quartusii11.0的modelsim仿真_第3页
基于quartusii11.0的modelsim仿真_第4页
基于quartusii11.0的modelsim仿真_第5页
资源描述:

《基于quartusii11.0的modelsim仿真》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、专业资料Quartus自从9.0版本以后就没有自带仿真工具。需要进行仿真要另外安装仿真工具。下面就以altera-modelsim6.6d版本介绍一个简单的VHDL语言编写的程序的仿真步骤。Quartus工具为11.0版本。1).新建一个工程。以与非门为例。打开quartus11.0工具栏的file->new->NewQuartusIIProject.点击OK。点Nextword完美格式专业资料创建工程文件夹,如andnotgate。输入工程名称。点Nextword完美格式专业资料先别管它,点Next选择芯

2、片型号,因为是只是仿真,可以随便选。或者默认。点Next.word完美格式专业资料选择仿真工具,这里选择ModelSim-Altera.点Next.点Finish。新建了一个工程。word完美格式专业资料2).向新建工程添加VHDL源文件File->new->VHDLFile->OK编写源程序:libraryieee;useieee.std_logic_1164.all;entityandnotgateisport(a,b:instd_logic;c:outstd_logic);word完美格式专业资料en

3、dentityandnotgate;architecturert1ofandnotgateisbeginc<=not(aandb);endrt1;保存源程序在新建的工程中File->saveasword完美格式专业资料保存。编译源文件:点击Startcompilation编译成功。3).利用modelsim进行波形仿真要进行仿真必须先创建一个testbench的仿真激励文件。testbench文件的编写可以利用软件提供的模板进行修改。word完美格式专业资料生成testbench模板processing->

4、start->starttestbenchtemplatewriter->OK打开新生成的testbench模板。在新建工程里的simulation->modelsim里的后缀为.vht的文件。修改testbench文件,主要工作是加入要输入的信号以及输出的时间。生成的模板为LIBRARYieee;USEieee.std_logic_1164.all;ENTITYandnotgate_vhd_tstISENDandnotgate_vhd_tst;ARCHITECTUREandnotgate_archOFan

5、dnotgate_vhd_tstIS--constants--signalsSIGNALa:STD_LOGIC;SIGNALb:STD_LOGIC;SIGNALc:STD_LOGIC;COMPONENTandnotgateword完美格式专业资料PORT(a:INSTD_LOGIC;b:INSTD_LOGIC;c:OUTSTD_LOGIC);ENDCOMPONENT;BEGINi1:andnotgatePORTMAP(--listconnectionsbetweenmasterportsandsignals

6、a=>a,b=>b,c=>c);init:PROCESS--variabledeclarationsBEGIN--codethatexecutesonlyonceWAIT;ENDPROCESSinit;always:PROCESS--optionalsensitivitylist--()--variabledeclarationsBEGIN--codeexecutesforeveryeventonsensitivitylistWAIT;ENDPROCESSalways;ENDandnotgate_arch;

7、修改后LIBRARYieee;USEieee.std_logic_1164.all;ENTITYandnotgate_vhd_tstISENDandnotgate_vhd_tst;ARCHITECTUREandnotgate_archOFandnotgate_vhd_tstIS--constantsconstantclk_period:time:=40ns;--定义一个时间常数。--signalsSIGNALa:STD_LOGIC;SIGNALb:STD_LOGIC;SIGNALc:STD_LOGIC;CO

8、MPONENTandnotgatePORT(word完美格式专业资料a:INSTD_LOGIC;b:INSTD_LOGIC;c:OUTSTD_LOGIC);ENDCOMPONENT;BEGINi1:andnotgatePORTMAP(--listconnectionsbetweenmasterportsandsignalsa=>a,b=>b,c=>c);init:PROCESS--variabledeclarat

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。