EDA数字秒表设计

EDA数字秒表设计

ID:37445590

大小:177.50 KB

页数:25页

时间:2019-05-24

EDA数字秒表设计_第1页
EDA数字秒表设计_第2页
EDA数字秒表设计_第3页
EDA数字秒表设计_第4页
EDA数字秒表设计_第5页
资源描述:

《EDA数字秒表设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、北华航天工业学院综合实践总结报告综合实践名称:EDA技术与实践数字秒表设计综合实践地点、时间教二EDA实验室11-12-2学期第12~15周专业班级:**姓名:**学号:***同组人员:**指导教师姓名:**完成时间:2012年5月20日目录一、概述………………………………………………2二、综合实践目的………………………………………..2三、综合实践具体内容和纪录………………………21、硬件电路设计…………………………………………….22、程序设计及仿真波形……………………………………63、数字秒表的原理图………………

2、……………………154、主程序……………………………………………......165、实验步骤…………………………………………………...18四、综合实践总结及分析………………………………19五、综合实践所需仪器设备……………………………22六、参考资料…………………………………………………22一、概述秒表的逻辑结构主要由显示译码器、分频器、十进制计数器、六进制计数器和报警器组成。在整个秒表中最关键的是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。秒表

3、共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便于和显示译码器的连接。当计时达60分钟后,蜂鸣器报警。二、综合实践目的1.掌握多位计数器相连的设计方法2.掌握十进制、六进制计数器的设计方法3.巩固多位共阴极扫描显示数码管的驱动及编码4.掌握扬声器的驱动5.掌握EDA技术的层次化设计方法三、综合实践具体内容和记录1、硬件电路设计计数器设计这里需要四个十进制计数器(分别作为数字秒表的百分之一秒、十分之一秒、秒和分)和两个六进制计数器

4、(分别作为数字秒表的十秒和十分),当要停止计数时,START端置0;当要计数器清零时,CLR端置0.(1)十进制计数器(2)六进制计数器(3)蜂鸣器当数字秒表计时达60分钟的时候会产生一个进位信号,进位信号接I端,此蜂鸣器相当于一个分频器,即输出的Q端与QER(0)等价,Q端会有交替的十个高低电平,所以蜂鸣器会响十下。(4)分频器此分频器主要是产生100HZ的信号来加在计数器两端,100HZ的信号加在选择器上使扫描稳定。(5)显示译码器NUM[3..0]接上面选择器的Y[3..0]端,而LED[6..0]接数码管的ab

5、cdefg段。(6)选择器选择器的六个输入端分别连着六个计数器,SEL端实现对这六个端的选择,例如当SEL=000时候,选择DAIN0[3..0],且SEL端接3-8译码器的A2、A1、A0端,Y[3..0]接七段译码器。2、程序设计及仿真波形十进制计数器(count10.vhd)四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数,其程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.st

6、d_logic_arith.all;entitycount10isport(clr,start,clk:instd_logic;cout:outstd_logic;daout:bufferstd_logic_vector(3downto0));endcount10;architecturebehaveofcount10isbeginprocess(clr,start,clk)beginifclr='1'thendaout<="0000";elsif(clk'eventandclk='1')thenifstart='1'

7、thenifdaout="1001"thendaout<="0000";cout<='1';elsedaout<=daout+1;cout<='0';endif;endif;endif;endprocess;endbehave;波形:六制计数器(count6vhd)两个6进制计数器:用来分别对十秒和十分进行计数,其程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycount6isport(clk,clr,st

8、art:instd_logic;daout:outstd_logic_vector(3downto0);cout:outstd_logic);endcount6;architecturebehaveofcount6issignaltemp:std_logic_vector(3downto0);beginprocess(clk,cl

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。