数字系统硬件设计概述

数字系统硬件设计概述

ID:37457498

大小:846.31 KB

页数:31页

时间:2019-05-12

数字系统硬件设计概述_第1页
数字系统硬件设计概述_第2页
数字系统硬件设计概述_第3页
数字系统硬件设计概述_第4页
数字系统硬件设计概述_第5页
资源描述:

《数字系统硬件设计概述》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、1VHDL与复杂数字系统设计2使用教材[1]侯伯亨等,《VHDL硬件描述语言与数字逻辑电路设计》(第三版),西安电子科技大学出版社,2009年。参考教材[1]乔庐峰、王志功等译,《VHDL数字电路设计教程》,电子工业出版社,2005年;[2]林敏、方颖立,《VHDL数字系统设计与高层次综合》,电子工业出版社,2002年;[3]曾繁泰、陈美金,《VHDL程序设计》,清华大学出版社,2001年;[4]俊超,《集成电路设计VHDL教程》,北京希望电子出版社,2002年;[5]林明权,《VHDL数字控制系统设计范例》,电子工业出版社,2003年;[6]北京理工大学ASI

2、C研究所,《VHDL100例详解》,清华大学出版社,1999年。3课程内容安排介绍数字集成电路设计的基本概念;掌握EDA工具MAXPLUSⅡ的基本使用方法;掌握VHDL的基本语法和主要编程要点;掌握基本及常用数字功能电路的VHDL设计。本课程理论课时56学时,答疑8学时。总学时644成绩考评方式平时成绩:8次作业,其中上机练习8次20%+堂上10%;考试成绩:70%教师联系方式办公室地点:2教504E-mail:wangyang@xtu.edu.cn5班干联系方式班次人数班长学习委员10微110微210微3兴湘微电子11上课时间地点:周三九、十节兴湘B101周四

3、五、六节兴湘B1016第一章数字系统硬件设计概述集成电路设计方法集成电路设计一般流程传统与现代集成电路设计方法比较VHDL硬件描述语言7一、集成电路设计方法从功能和实现的先后顺序上分正向(Forward)设计反向(Backward)设计正向设计:由设计者提出一个功能要求,然后通过综合得到最终的半导体实现。反向设计:对已有的一个半导体实现,通过分析得到它的结构和功能,在此基础上进行模仿或修改,实现类似的电路功能。8正向设计行为设计算法设计结构设计逻辑设计电路设计版图设计功能分析电路提取版图分析模仿修改逻辑设计电路设计版图设计反向设计√9反向设计方法应用越来越小的原

4、因ASIC的功能多样化、专门化,开发者无法从已有芯片产品当中找到合乎自己特殊功能及性能要求的专用集成电路。对大规模高集成度的芯片进行版图分析非常困难。集成电路产品加强了保密措施,使反向设计几乎成为不可能。基于以上原因正向设计得到越来越广泛的研究和应用,而正向设计的发展主要依赖于高层次综合、逻辑综合、版图综合各层次综合方法和工具的发展。10从整体和局部的先后顺序上分自顶向下(Top-down)的设计自底向上(Bottom-up)的设计自顶向下(Top-down)的设计:设计者从整体上规划系统的功能和性能,然后对系统进行划分,分解为规模较小、功能较为简单的局部模块,

5、并确立它们之间的相互关系,这种划分过程不断地进行下去,直到划分得到的单元可以映射到物理实现。自底向上(Bottom-up)的设计:设计者首先选择具体的逻辑单元,进行逻辑电路设计,得到系统需要的独立功能单元,然后把这些模块单元连接起来组装成整个系统。11自顶向下(Top-down)用系统级行为描述表达一个包含输入输出的顶层模块,同时完成整个系统模拟与性能分析将系统划分为各个功能模块,每个模块由更细化的行为描述表达由EDA综合工具完成到工艺的映射由基本门组成各个组合与时序逻辑单元由逻辑单元组成各个独立的功能模块由各个功能模块连成一个完整系统进行整个系统的测试与性能分

6、析自底向上(Buttom-up)12Bottom-up设计方法从传统的手工设计发展而来,一个硬件系统的实现过程从选择具体的元器件开始,CAD软件是按照这种设计流程建立的。缺点:底层设计时缺乏对整个系统总体性能的把握,完成后修改困难。Top-down设计方法是随着硬件描述语言和EDA工具同步发展起来的。硬件描述语言能在各个抽象层次上对电子系统进行描述,借助EDA工具自动实现从高层次到低层次的转换。优点:在各个层次上易于调整设计,保证设计的正确性,缩短了设计周期,适于设计大规模电路。13二、集成电路设计一般流程集成电路设计流程就是为实现集成电路从功能定义到半导体实现

7、的整个过程所需要进行的所有工作及其先后次序。规范、科学的集成电路设计流程,可提高设计活动的效率和可靠性,有利于设计活动的管理和交流。14与工艺无关设计前端设计后端设计IC设计一般流程15三、传统与现代集成电路设计方法比较数字系统的设计历来存在两个分支—系统硬件设计和软件设计。所以设计人员也因工作性质的不同被分成两群—硬件设计人员和软件设计人员。硬件描述语言出现之后,数字系统的硬件构成及其行为可以借助硬件描述语言来描述和仿真。所以,软件人员借助HDL语言同样可以设计出符合要求的硬件系统。教材上以六进制计数器的设计为例,说明了这种硬件设计方法的变化。161、传统设计

8、方法的主要特征(1)采用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。