2006031459 黄德荣 5位逐级进位和超前进位加法器设计

2006031459 黄德荣 5位逐级进位和超前进位加法器设计

ID:38465836

大小:69.50 KB

页数:9页

时间:2019-06-13

2006031459 黄德荣 5位逐级进位和超前进位加法器设计_第1页
2006031459 黄德荣 5位逐级进位和超前进位加法器设计_第2页
2006031459 黄德荣 5位逐级进位和超前进位加法器设计_第3页
2006031459 黄德荣 5位逐级进位和超前进位加法器设计_第4页
2006031459 黄德荣 5位逐级进位和超前进位加法器设计_第5页
资源描述:

《2006031459 黄德荣 5位逐级进位和超前进位加法器设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、深圳大学实验报告课程名称:VHDL数字电路设计教程实验项目名称:5位逐级进位和超前进位加法器设计学院:信息工程学院专业:电子信息工程指导教师:梁松海报告人:黄德荣学号:20006031459班级:1班实验时间:2008.10.22实验报告提交时间:2008.11.5教务处制实验目的与要求:用XILINXISE7.1i实现逐级进位和超前进位加法器方法、步骤:1,逐级进位加法器对每一位都使用了全加器FAU,图中a和b是输入位,cin是进位输入位。S是求和的结果,cout是进位输出位。C是进位矢量。图中

2、每个全加器的输出结果都依赖于前一级产生的进位。由全加器的特性,可以写出如下的逻辑表达式:S=aXORbXORcincout=(aANDb)OR(aANDcin)OR(bANDcin)2,超前进位加法器电路实现是需要两个非常重要的中间信号:generate和propagate,分别由g和p表示。加法器两个输入位是a和b,则generate和propagate信号定义如下:g=aANDbp=aXORb这两个信号与进位无关,只根据当前的输入计算。现在两个输入矢量是:a=a(4)a(3)a(2)a(1)a(

3、0)和b=b(4)b(3)b(2)b(1)b(0),那么相应的generate矢量为g=g(4)g(3)g(2)g(1)g(0),相应的propagate矢量为p=p(4)p(3)p(2)p(1)p(0)。其中:g(j)=a(j)ANDb(j)p(j)=a(j)XORb(j)同时,进位矢量用c=c(4)c(3)c(2)c(1)c(0)。进位可由g和p按照下面的方法计算得到:c(0)=cin;c(1)=c(0)p(0))+g(0);c(2)=c(0)p(0)p(1))+g(0)p(1)+g(1);c(

4、3)=c(0)p(0)p(1)p(2)+g(0)p(1)p(2)+(g(1)p(2)+g(2);c(4)=c(0)p(0)p(1)p(2)p(3)+g(0)p(1)p(2)p(3)+g(1)p(2)p(3)+g(2)p(3)+g(3);c(5)=c(0)p(0)p(1)p(2)p(3)p(4)+g(0)p(1)p(2)p(3)p(4)+g(1)p(2)p(3)p(4)+g(2)p(3)p(4)+g(4);可见超前进位加法器的每个全加器不依赖与前一级进位输出的计算结果,有利于提高电路执行速度。实验过程

5、及内容:1,逐级进位加法器VHDL代码----------------------------------------------------------------------------------Company:--Engineer:----CreateDate:02:59:1810/22/08--DesignName:--ModuleName:adder-Behavioral--ProjectName:--TargetDevice:--Toolversions:--Description:-

6、---Dependencies:----Revision:--Revision0.01-FileCreated--AdditionalComments:----------------------------------------------------------------------------------libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.

7、ALL;----Uncommentthefollowinglibrarydeclarationifinstantiating----anyXilinxprimitivesinthiscode.--libraryUNISIM;--useUNISIM.VComponents.all;entityadderisport(a,b:instd_logic_vector(4downto0);cin:instd_logic;s:outstd_logic_vector(4downto0);cout:outstd_l

8、ogic);endadder;architectureBehavioralofadderissignalc:std_logic_vector(4downto0);beginc(0)<=cin;s(0)<=a(0)xorb(0)xorc(0);c(1)<=(a(0)andb(0))or(a(0)andc(0))or(b(0)andc(0));s(1)<=a(1)xorb(1)xorc(1);c(2)<=(a(1)andb(1))or(a(1)andc(1))or(b(1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。