《roteus快速入门》PPT课件

《roteus快速入门》PPT课件

ID:38602750

大小:2.84 MB

页数:97页

时间:2019-06-16

《roteus快速入门》PPT课件_第1页
《roteus快速入门》PPT课件_第2页
《roteus快速入门》PPT课件_第3页
《roteus快速入门》PPT课件_第4页
《roteus快速入门》PPT课件_第5页
资源描述:

《《roteus快速入门》PPT课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第1章Proteus快速入门1.1Proteus整体功能预览1.1.1集成化的电路虚拟仿真软件——Proteus1.1.2ProteusVSM仿真与分析1.1.3ProteusARES的应用预览功能1.2Proteus跟我做1.2.1Proteus软件的安装与运行1.2.2一阶动态电路的设计与仿真1.2.3异步四位二进制计数器的设计及仿真1.2.489C51与8255接口电路的调试及仿真第1章Proteus快速入门Proteus软件是由英国LabcenterElectronics公司开发的EDA工具软件,已有近20年

2、的历史,在全球得到了广泛应用。Proteus软件的功能强大,它集电路设计、制版及仿真等多种功能于一身,不仅能够对电工、电子技术学科涉及的电路进行设计与分析,还能够对微处理器进行设计和仿真,并且功能齐全,界面多彩,是近年来备受电子设计爱好者青睐的一款新型电子线路设计与仿真软件。1.1Proteus整体功能预览Proteus软件和我们手头的其他电路设计仿真软件最大的不同即它的功能不是单一的。它的强大的元件库可以和任何电路设计软件相媲美;它的电路仿真功能可以和Multisim相媲美,且独特的单片机仿真功能是Multisim

3、及其他任何仿真软件都不具备的;它的PCB电路制版功能可以和Protel相媲美。它的功能不但强大,而且每种功能都毫不逊于Protel,是广大电子设计爱好者难得的一个工具软件。1.1.1集成化的电路虚拟仿真软件——ProteusProteus是一个基于ProSPICE混合模型仿真器的、完整的嵌入式系统软硬件设计仿真平台。它包含ISIS和ARES应用软件,具体功能分布如图1-1所示。图1-1Proteus的功能分布图ISIS——智能原理图输入系统,系统设计与仿真的基本平台。ARES——高级PCB布线编辑软件。在Proteu

4、s中,从原理图设计、单片机编程、系统仿真到PCB设计一气呵成,真正实现了从概念到产品的完整设计。Proteus从原理图设计到PCB设计,再到电路板完成的流程如图1-2所示。图1-2Proteus设计流程在图1-2中,最上面是一个基于单片机的应用电路原理图,显示的画面正处于仿真运行状态。设计者可以从Proteus原理图库中调用所需库元件,然后通过合适连线即可。单片机内可通过单击单片机芯片加入已编译好的十六进制程序文件,然后运行仿真即可。中间图片是运用Proteus的PCB制版功能设计出的电路板,可通过原理图生成网络表后

5、设计布局而成。最下面的图为根据设计的PCB加工而成的电路板和安装焊接完成后的实际电路。可见,整个电路从设计到实际电路制作完成,通过Proteus一个软件即可完美实现。并且,它的仿真结果与实际误差很小,非常适合电子设计爱好者和高校学生自学使用,缩短了设计周期,降低了生产成本,提高了设计成功率。1.1.2ProteusVSM仿真与分析Proteus软件的ISIS原理图设计界面同时还支持电路仿真模式VSM(虚拟仿真模式)。当电路元件在调用时,我们选用具有动画演示功能的器件或具有仿真模型的器件,当电路连接完成无误后,直接运行

6、仿真按钮,即可实现声、光、动等逼真的效果,以检验电路硬件及软件设计的对错,非常直观。ProteusVSM有两种不同的仿真方式:交互式仿真和基于图表的仿真。交互式仿真——实时直观地反映电路设计的仿真结果;基于图表的仿真(ASF)——用来精确分析电路的各种性能,如频率特性、噪声特性等。ProteusVSM中的整个电路分析是在ISIS原理图设计模块下延续下来的,原理图中可以包含以下仿真工具:探针——直接布置在线路上,用于采集和测量电压/电流信号;电路激励——系统的多种激励信号源;虚拟仪器——用于观测电路的运行状况;曲线图表

7、——用于分析电路的参数指标。1.仿真工具——激励源DC:直流电压源。Sine:正弦波发生器。Pulse:脉冲发生器。Exp:指数脉冲发生器。SFFM:单频率调频波信号发生器。Pwlin:任意分段线性脉冲信号发生器。File:File信号发生器,数据来源于ASCII文件。Audio:音频信号发生器,数据来源于wav文件。DState:单稳态逻辑电平发生器。DEdge:单边沿信号发生器。DPulse:单周期数字脉冲发生器。DClock:数字时钟信号发生器。DPattern:模式信号发生器。Proteus激励源的可编辑格式

8、示例如图1-3所示。图1-3Proteus激励源的可编辑格式示例2.仿真工具——虚拟仪器虚拟示波器(OSCILLOSCOPE)。逻辑分析仪(LOGICANALYSER)。计数器、定时器(COUNTERTIMER)。虚拟终端(VIRUALTERMINAL)。信号发生器(SIGNALGENERATOR)。模式发生器(PATTERNGENERATOR

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。