FPGA设计基础QuartusⅡ中宏功能模块的使用

FPGA设计基础QuartusⅡ中宏功能模块的使用

ID:38963807

大小:1.84 MB

页数:17页

时间:2019-06-22

FPGA设计基础QuartusⅡ中宏功能模块的使用_第1页
FPGA设计基础QuartusⅡ中宏功能模块的使用_第2页
FPGA设计基础QuartusⅡ中宏功能模块的使用_第3页
FPGA设计基础QuartusⅡ中宏功能模块的使用_第4页
FPGA设计基础QuartusⅡ中宏功能模块的使用_第5页
资源描述:

《FPGA设计基础QuartusⅡ中宏功能模块的使用》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、西安邮电学院计算机系QuartusⅡ中宏功能模块的使用QuartusⅡ中宏功能模块的使用QuartusⅡ软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用宏功能模块,可以减少工作量,加快设计的进程。用户可以通过向导工具MegaWizardPlug-InManager调用宏功能。该向导工具帮助用户建立或修改包含自定义宏功能模块变量的设计文件,这些设计文件可以在用户的设计中进行实例化。2021/7/152西安邮电学院计算机系QuartusⅡ中宏功能模块的使用在QuartusⅡ软件中使用Meg

2、aWizardPlug-InManager对宏功能模块进行实例化的步骤如下:1选择菜单ToolsMegaWizardPlug-InManager(图1),或直接在原理图设计文件的Symbol对话框中点击MegaWizardPlug-InManager(图2),则弹出对话框(图3)。图1图22021/7/153西安邮电学院计算机系QuartusⅡ中宏功能模块的使用图3选择新建一个宏功能实例,进入下一步(图4)。2021/7/154西安邮电学院计算机系图4这里列举了可供调用的宏功能模块数学运算功能类,包括代码纠正、浮点加/减/乘法器、计数器、平方根等功

3、能模块。逻辑门类功能类,包括与/或/非门常数发生器、反相器模块等。I/O接口功能类,包括数据收发器锁相环、I/O缓冲模块等。在系统调试类,包括串/并载入SignalTap逻辑分析、虚拟JTAG接口模块等。寄存器类,包括各种ROM、RAM和FIFO模块。存储器类,包括各种参数化的锁存器、移位寄存器模块等。须购买的IP模块QuartusⅡ中宏功能模块的使用2021/7/155西安邮电学院计算机系QuartusⅡ中宏功能模块的使用下面是一个双口RAM的例化及调用过程:图5在工程目录下设置例化的文件名选择输出语言选择器件选择双口RAM2021/7/156西安

4、邮电学院计算机系QuartusⅡ中宏功能模块的使用图6选择端口模式-分立的读写端口选择读写模式-按字节读写2021/7/157西安邮电学院计算机系QuartusⅡ中宏功能模块的使用图7选择存储深度选择字宽选择例化时调用的资-M4K资源/逻辑资源(LC)2021/7/158西安邮电学院计算机系QuartusⅡ中宏功能模块的使用图8选择异步读写时钟2021/7/159西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择读出端是否加D触发器图92021/7/1510西安邮电学院计算机系图10QuartusⅡ中宏功能模块的使用选择存储空间初始化方式及初

5、始值2021/7/1511西安邮电学院计算机系图11QuartusⅡ中宏功能模块的使用仿真与综合相关信息2021/7/1512西安邮电学院计算机系图12QuartusⅡ中宏功能模块的使用选取输出的文件.bsf文件:图形编辑器中使用的宏功能模块符号.v文件:VerilogHDL实例化的宏功能模块包装文件完成定制过程2021/7/1513西安邮电学院计算机系QuartusⅡ中宏功能模块的使用图13图形输入调用:新建图形输入文件,在空白区域双击左键弹出元件选取对话框如图,Project目录下将出现实例化ram4k元件供调用2021/7/1514西安邮电学院

6、计算机系QuartusⅡ中宏功能模块的使用也可在程序中嵌入以下语句实现调用:…ram4kram4k(.data(),.rdaddress(),.rdclock(),.wraddress(),.wrclock(),.wren(),.q());…这段程序将实现子程序模块的例化图15图142021/7/1515西安邮电学院计算机系QuartusⅡ中宏功能模块的使用Altera的Megafunction是重要的设计输入资源。由于Megafunction是基于Altera底层硬件结构最合理的成熟应用模块的表现,所以在代码中尽量使Megafunction这类IP

7、资源,不但能将设计者从繁琐的代码编写中解脱出来,更重要的是在大多数情况下Megafunction的综合和实现结果比用户编写的代码更优。Megafunction包括Altera的参数化模块库(LPM,libraryofparameterizedmodules),器件专有的Megafunction模块,用AlteraMegaCoreIP生成工具调用的IPCore,以及AlteraMegafunction计划协作者(AMPP,AlteraMegafunctionParternersProgram)提供的第三方IPCore。特别是针对一些与Altera器件底

8、层结构相关的特性,必须通过Megafunction实现,例如一些存储器模块(DPRAM、SPRAM、FIFO

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。