基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=

基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=

ID:39474369

大小:39.00 KB

页数:5页

时间:2019-07-04

基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=_第1页
基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=_第2页
基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=_第3页
基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=_第4页
基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=_第5页
资源描述:

《基于Verilog HDL实现的秒表绦基于Verilog HDL实现的秒表程序aspan class=》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于VerilogHDL实现的秒表程序moduleclock(clk,pause,rst,sm_bit,sm_seg);inputclk,rst,pause;output[2:0]sm_bit;//三位位选信号output[6:0]sm_seg;//7段数码管输出信号reg[3:0]dataout;reg[6:0]sm_seg;reg[2:0]sm_bit;reg[3:0]led0;//百分秒低位regdd0;//百分秒进位reg[3:0]led1;//百分秒高位regdd1;//百分秒高位进位reg[3:0]led2;//秒低位regdd2;//秒低位进位reg[3:0]led3;//秒

2、高位regdd3;//秒高位进位reg[3:0]led4;//分低位regdd4;//分低位进位reg[3:0]led5;//分高位regdd5;//分高位进位/*reg[3:0]led6;//时低位regdd6;//时低位进位*/regclk_100;reg[2:0]cnt_scan;reg[3:0]counter;/*1000——100分频器*/always@(posedgeclk)beginif(!pause)beginif(counter==4'b1001)begincounter<=0;endelsecounter<=counter+1;endclk_100<=counter[3

3、];end//////////////////////计数器/////////////////////////always@(posedgeclk_100orposedgerst)/////////////////百秒个位beginif(rst)beginled0<=0;dd0<=0;endelseif(clk_100==1)beginif(led0==9)beginled0<=0;dd0<=1;endelsebeginled0<=led0+1;dd0<=0;endendend////////////////////////////////////always@(posedgedd0orp

4、osedgerst)/////////////////百秒十位beginif(rst)beginled1<=0;dd1<=0;endelseif(dd0==1)beginif(led1==9)beginled1<=0;dd1<=1;endelsebeginled1<=led1+1;dd1<=0;endendend////////////////////////////////////////always@(posedgedd1orposedgerst)/////////////////秒个位beginif(rst)beginled2<=0;dd2<=0;endelseif(dd1==1)b

5、eginif(led2==9)beginled2<=0;dd2<=1;endelsebeginled2<=led2+1;dd2<=0;endendend////////////////////////////////////always@(posedgedd2orposedgerst)/////////////////秒十位beginif(rst)beginled3<=0;dd3<=0;endelseif(dd2==1)beginif(led3==5)beginled3<=0;dd3<=1;endelsebeginled3<=led3+1;dd3<=0;endendend/////////

6、///////////////////////////////always@(posedgedd3orposedgerst)/////////////////分个位beginif(rst)beginled4<=0;dd4<=0;endelseif(dd3==1)beginif(led4==9)beginled4<=0;dd4<=1;endelsebeginled4<=led4+1;dd4<=0;endendend///////////////////////////////////////always@(posedgedd4orposedgerst)/////////////////分十位

7、beginif(rst)beginled5<=0;dd5<=0;endelseif(dd4==1)beginif(led5==5)led5<=0;elseled5<=led5+1;endend/*always@(posedgedd5orposedgerst)/////////////////时个位beginif(rst)beginled6<=0;dd6<=0;endelseif(dd5==1)beginif(led6==

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。