FPGA VHDL串口

FPGA VHDL串口

ID:39548717

大小:149.00 KB

页数:8页

时间:2019-07-06

FPGA VHDL串口_第1页
FPGA VHDL串口_第2页
FPGA VHDL串口_第3页
FPGA VHDL串口_第4页
FPGA VHDL串口_第5页
资源描述:

《FPGA VHDL串口》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、时钟4分频,freqd_dff.vhd文件clk_in:时钟输入clk_out:时钟输出----------------------------------------------------------------------------------------------------------------------libraryieee;useieee.std_logic_1164.all;libraryaltera;usealtera.maxplus2.all;entityfreqd_dffisgeneric(n:integer:=2);port(clk_in:instd_logic

2、;clk_out:outstd_logic);endfreqd_dff;architecturefreqd_dff_archoffreqd_dffissignalq:std_logic_vector(0ton);beginq(0)<=clk_in;G1:foriin0to(n-1)generateUx:dffportmap(notq(i+1),q(i),'1','1',q(i+1));endgenerate;clk_out<=q(n);endfreqd_dff_arch;--------------------------------------------------------------

3、--------------------------------------------------------波特率产生,clock.vhd文件clk:50m时钟clk_out:4倍的波特率时钟sel:波特率选择端,可接拨动开关。00:1200的波特率01:960010:3840011:115200的波特率----------------------------------------------------------------------------------------------------------------------libraryieee;useieee.std_log

4、ic_1164.all;useieee.std_logic_unsigned.all;libraryaltera;usealtera.maxplus2.all;entityclockisport(clk:instd_logic;clk_out:outstd_logic;sel:instd_logic_vector(1downto0));endclock;architectureclock_archofclockissignalcount:std_logic_vector(31downto0);constantstep96:integer:=3298535;--3298535:9600;cons

5、tantstep11:integer:=39582419;--115200;constantstep12:integer:=412317;--1200;constantstep38:integer:=13194139;--38400;signalstep:integer;beginstep<=step12whensel="00"elsestep96whensel="01"elsestep38whensel="10"elsestep11;process(clk)beginifrising_edge(clk)thencount<=count+step;endif;endprocess;clk_ou

6、t<=count(31);endclock_arch;串口接收,recvive.vhd文件clk:4倍的波特率时钟reset:复位端,低电平’0’复位rx:串口rxrx_done:接收完成,1时完成接收rx_buf:接收的数据---------------------------------------------------------------------------------------------------------------------libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;

7、useieee.std_logic_arith.all;entityrecviveisgeneric(framlent:integer:=8);Port(clk,reset:std_logic;rxbuf:outstd_logic_vector(7downto0);rx:instd_logic;rx_done:outstd_logic);endrecvive;architecturebehaveo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。