EDA技术与VHDL(A卷答案)

EDA技术与VHDL(A卷答案)

ID:39550651

大小:190.50 KB

页数:9页

时间:2019-07-06

EDA技术与VHDL(A卷答案)_第1页
EDA技术与VHDL(A卷答案)_第2页
EDA技术与VHDL(A卷答案)_第3页
EDA技术与VHDL(A卷答案)_第4页
EDA技术与VHDL(A卷答案)_第5页
资源描述:

《EDA技术与VHDL(A卷答案)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、承诺:我将严格遵守考场纪律,知道考试违纪、作弊的严重性,还知道请他人代考或代他人考者将被开除学籍和因作弊受到记过及以上处分将不授予学士学位,愿承担由此引起的一切后果。专业班级学号学生签名:华东交通大学2011—2012学年第一学期考试卷                    试卷编号:    (A)卷EDA技术与VHDL课程课程类别:必开卷(范围)(教材):     考试日期:2011.12.13题号一二三四五六七八九十总分累分人签名题分102020102020100得分考生注意事项:1、本试卷共8页,总分100分,考试

2、时间120分钟。2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。得分评阅人一、名词解释,写出下列缩写的中文含义:(每题2分,共10分)  1.VHDL超高速集成电路硬件描述语言2.RTL寄存器传输级逻辑3.IP知识产权模块4.SOC片上系统5.LUT查找表得分评阅人二、单项选择题(每题2分,共20分) 1.IP核在EDA技术和开发中具有十分重要的地位,以HDL方式提供的IP被称为:A。A.软IP;B.固IP;C.硬IP;D.都不是;2.请指出Altera公司Cyclone系列中的EP1C6Q240C8这个器件是属

3、于DA.ROMB.GALC.CPLDD.FPGA3.进程中的信号赋值语句,其信号更新是C。A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。第9页共8页1.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是C。A.FPGA是基于乘积项结构的可编程逻辑器件;B.FPGA是全称为复杂可编程逻辑器件;C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;D.在Altera公司生产的器件中,MAX3000系列属FPGA结构。2.以下对于进程PROCESS的说法

4、,正确的是:AA.进程语句本身是并行语句B.进程内部由一组并行语句来描述进程功能C.进程之间可以通过变量进行通信D.一个进程可以同时描述多个时钟信号的同步时序逻辑3.在VHDL语言中,下列对时钟上升沿检测描述中,错误的是C。A.ifclk’eventandclk=‘1’thenB.ifrising_edge(clk)thenC.ifclk’eventandclk=‘0’thenD.ifnotclk’stableandclk=‘1’then4.关于VHDL中的数字,请找出以下数字中数值最大的一个:BA.2#1111_111

5、0#B.8#366#C.10#169#D.16#F#E15.下列标识符中,B是不合法的标识符。A.State0B.9moonC.Not_Ack_0D.signall6.下列语句中,不属于并行语句的是:DA.进程语句B.条件信号赋值语句C.元件例化语句D.IF语句10.状态机编码方式中,其中C占用触发器较多,但其实现比较适合FPGA的应用A.状态位直接输出型编码B.顺序编码C.一位热码编码D.以上都不是第9页共8页得分评阅人三、VHDL程序填空:(每空2分,共20分)下面程序是参数可定制带计数使能异步复位计数器的VHDL描

6、述,试补充完整。libraryieee;useIEEE.std_logic_1164.all;useIEEE.std_logic_unsigned.all;useIEEE.std_logic_arith.all;entitycounter_nisgeneric(width:integer:=8);port(data:instd_logic_vector(width-1downto0);load,en,clk,rst:instd_logic;q:outstd_logic_vector(width-1downto0));en

7、dcounter_n;architecturebehaveofcounter_nissignalcount:std_logic_vector(width-1downto0);beginprocess(clk,rst)beginifrst='1'thencount<=others(‘0’);――清零elsifclk’eventandclk=’1’then――上升沿检测ifload='1'thencount<=data;elsifen='1'thencount<=count+1;endif;endif;endprocess;

8、q<=count;endbehave;第9页共8页得分评阅人四、VHDL程序改错:(10分)仔细阅读下列程序,回答问题LIBRARYIEEE;--1USEIEEE.STD_LOGIC_1164.ALL;--2ENTITYLED7SEGIS--3PORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);-

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。