FPGA_verilog入门学习

FPGA_verilog入门学习

ID:40549061

大小:97.12 KB

页数:3页

时间:2019-08-04

FPGA_verilog入门学习_第1页
FPGA_verilog入门学习_第2页
FPGA_verilog入门学习_第3页
资源描述:

《FPGA_verilog入门学习》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、标签:FPGA  verilog  自学  FPGA_verilog入门学习本人FPGA学习的第一个程序:数字电路三八译码器(具有使能端EN)。源代码:/*------------------------------------------------------------------------------------Filename﹕decoder3_8.v--Author:songjun--Description﹕3-8线译码器组合逻辑--RevisionHistory﹕11-1-21--Revision1.0--------------------------------

2、-----------------------------------------------------*/moduledecoder3_8(out,in,en);output[7:0]out;input[2:0]in;inputen;reg[7:0]out;always@(in)begin if(en) begin  case(in)  3'd0:out=8'b00000001;  3'd1:out=8'b00000010;  3'd2:out=8'b00000100;  3'd3:out=8'b00001000;  3'd4:out=8'b00010000;  3'd5:ou

3、t=8'b00100000;  3'd6:out=8'b01000000;  3'd7:out=8'b10000000;       endcase end elseout=8'bzzzzzzzz;endendmodule仿真图:    图1 具有芯片延时         图2 无芯片延时学习FPGA的第二个程序(夏闻于老师那本书真是不错让我一下子豁然开朗)带使能和复位的十进制计数器verilog源代码/*------------------------------------------------------------------------------------Filen

4、ame﹕conter_10.v--Author:songjun--Description﹕10disablescounter--RevisionHistory﹕11-1-26--Revision1.0-------------------------------------------------------------------------------------*/moduleconter_10(clk,q,en,rst);inputclk,en,rst;output[3:0]q;reg[3:0]q;always@(posedgeclk)begin   if(en==1)  

5、if(rst==1)   //ifandelsemustthesame   q<=4'b0000;  else   if(q<9)    q<=q+1;   else    q<=4'b0000; else    q<=4'bzzzz;endendmodule 时序仿真图

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。