FPGA设计初步

FPGA设计初步

ID:41303390

大小:3.26 MB

页数:53页

时间:2019-08-21

FPGA设计初步_第1页
FPGA设计初步_第2页
FPGA设计初步_第3页
FPGA设计初步_第4页
FPGA设计初步_第5页
资源描述:

《FPGA设计初步》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、FPGA设计初步Email:ieybzhang@zzu.edu.cnTel:13673659360张延彬学习目标了解一类器件掌握一门设计语言熟悉一种设计工具学习内容一、EDA概述二、VerilogHDL语言基础三、FPGA开发工具使用四、IP核学习内容一、EDA概述二、VerilogHDL语言基础三、FPGA开发工具使用四、IP核一、EDA技术概述1.EDA技术及其发展2.传统设计方法和EDA方法的区别3.EDA技术的主要内容4.EDA技术及EDA工具的发展趋势第一章EDA技术概述§1.1EDA技术及其发

2、展一、什么是EDA?ElectronicDesignAutomation即电子设计自动化。二、EDA技术发展的三个阶段:1、早期电子CAD阶段20世纪70年代,属EDA技术发展初期。利用计算机、二维图形编辑与分析的CAD工具,完成布图布线等高度重复性的繁杂工作。典型设计软件如Tango布线软件。20世纪80年代初,出现了低密度的可编程逻辑器件(PAL_ProgrammableArrayLogic和GAL_GenericArrayLogic),相应的EDA开发工具主要解决电路设计没有完成之前的功能检测等问题

3、。80年代后期,EDA工具已经可以进行初级的设计描述、综合、优化和设计结果验证。2、计算机辅助工程设计CAE阶段综合编译器和综合功能的比较20世纪90年代,可编程逻辑器件迅速发展,出现功能强大的EDA工具。具有较强抽象描述能力的硬件描述语言(VHDL、VerilogHDL)及高性能综合工具的使用,使过去单功能电子产品开发转向系统级电子产品开发(即SOC_SystemOnaChip:单片系统、或片上系统集成)。3、电子设计自动化(EDA)阶段三、EDA的广义定义范围包括:1、半导体工艺设计自动化;2、可编程

4、器件设计自动化;3、电子系统设计自动化;4、印刷电路板设计自动化;5、仿真与测试、故障诊断自动化;以上各部分统称为EDA工程以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程器件的开发软件及实验开发系统为设计工具,自动完成用软件方式描述的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门多学科融合的新技术。四、EDA技术

5、的狭义定义:一、EDA技术概述1.EDA技术及其发展2.传统设计方法和EDA方法的区别3.EDA技术的主要内容4.EDA技术及EDA工具的发展趋势一、传统设计方法:自下而上(Bottom-up)的设计方法,是以固定功能元件为基础,基于电路板的设计方法。固定功能元件电路板设计完整系统构成系统调试、测试与性能分析系统功能需求§1.2传统设计方法和EDA方法的区别:1.设计依赖于设计师的经验。2.设计依赖于现有的通用元器件。3.设计后期的仿真不易实现和调试复杂。4.设计实现周期长,灵活性差,耗时耗力,效率低下。

6、传统设计方法的缺点:二、EDA方法:自上而下(Top-Down)的设计方法。其方案验证与设计、系统逻辑综合、布局布线、性能仿真、器件编程等均由EDA工具一体化完成。系统规格设计功能级描述功能级仿真逻辑综合、优化、布局布线定时仿真、定时检查输出门级网表ASIC芯片投片、PLD器件编程、测试ASIC:ApplicationSpecificIntegratedCircuits,PLD:ProgrammableLogicDevices三、传统方法与EDA方法比较:传统方法1.从下至上2.通用的逻辑元器件3.系统硬

7、件设计的后期进行仿真和调试4.主要设计文件是电原理图EDA方法1.自上至下2.可编程逻辑器件3.系统设计的早期进行仿真和修改4.多种设计文件,发展趋势以HDL描述文件为主5.降低硬件电路设计难度EDA技术极大地降低硬件电路设计难度,提高设计效率,是电子系统设计方法的质的飞跃。Top-down的优势1.在系统设计早期发现设计中存在的问题,提高了设计的一次成功率。2.大大缩短系统设计的周期,减少系统开发所耗用的时间。3.方便系统划分和项目管理,使得几十万门甚至几百万门规模的复杂数字电路的设计成为可能。4.设计

8、效率提高,可减少设计人员。5.通过设计共享,避免不必要的重复设计。EDA的工程设计流程文本编辑器、图形编辑器VHDL综合器(逻辑综合、优化)FPGA/CPLD布线/适配器(自动优化、布局、布线、适配)VHDL仿真器(行为仿真、功能仿真、时序仿真)编程器/下载电缆(编程、下载)测试电路(硬件测试)网表文件(EDIF、XNL、VHDL…)门级仿真器(功能仿真、时序仿真)各种编程文件一、EDA技术概述1.EDA技术及其发展2.传统设

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。