可编程逻辑实验报告--孙潇

可编程逻辑实验报告--孙潇

ID:41725559

大小:469.59 KB

页数:42页

时间:2019-08-30

可编程逻辑实验报告--孙潇_第1页
可编程逻辑实验报告--孙潇_第2页
可编程逻辑实验报告--孙潇_第3页
可编程逻辑实验报告--孙潇_第4页
可编程逻辑实验报告--孙潇_第5页
资源描述:

《可编程逻辑实验报告--孙潇》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、西安邮电大学电子工程学院CPLD可编程逻辑实验报告系部名称班级学生姓名科技1202班孙潇05122048(13)西安邮电大学可编程逻辑实验报告实验名称实验一:用原理图输入法设计门电路—:实验目的:(1)掌握PLD芯片的基本使用方法,熟悉EDA软件MAX+plusH操作。(2)学会利用软件仿真和硬件实现对数字电路的逻辑功能进行验证和分析。(3)能够利用CPLD器件开发具有基本与非逻辑功能的数字电路。-:实验所用仪表及主要器材:PC,EDA软件MAX+plusII三:实验原理简述(原程序、真值表、原理图):可编程逻辑实验师简历在数字电路基础实验上的

2、一个更高层次的设计性试验。它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。这与以往的基于独立元器件的实验在实验方法、实验手段和实验仪器上都有很大的不同,要求操作者具有一定的计算机软件操作基础。在MAX+plusII环境下,数字电路的设计流稈如下图所示。在实验过程中应该特别注意:(1)在图形输入完毕后,保存文件时,后缀为“.gdf”(2)将预编译的文件设定为当前工程。实验成功四:实验测量记录(数据、仿真波形图及分析、原程序分析、硬件测试实分析):(1)F=AB设计的

3、原理图如下:仿真结果如下、yby9.scf・WaveformEditorRef:800.0ns*

4、Time:0.0nsInterval:-800.0nsName:Value:1100.0ns200.0ns300.0ns400.0ns500.0LAGF1L000f=ab的真值表如下ABF001011101110结论:仿真结果和真值表一致。1.F=AB+CD原理图如下:3A[=>—ver4臓仿真图形::,0ybylO.scf・WaveformEditorRef:

5、443.0ns

6、♦卜

7、Time:

8、0.0ns]Interval:1443.0nsN

9、ameValue・I100.0ns200.0ns300.0ns400.0ns500.:BHB=j=iQcI■^―D000-B1A1F1F=AB+CD真值表1100101110111111101011111111结论:真值表和仿真结果一致。西安邮电大学可编程逻辑实验报告实验名称实验二用文本输入法设计门电路实验冃的1通过各种常见电路的实现,进一步熟悉CPLD开发的全过程;2认识各种常见门电路,并掌握它们的逻辑功能。3能够通过CPLD的开发实现具有门电路的数字电路。二:实验所用仪表及主要器材MAX+plusII软件三:实验原理简述(原程序、真值表、原

10、理图)1与门:1)原程序:LibraryieeeUseieee.std_logic_l164.allEntityand2isPort(a,b:instd_logicy:outstd_logic)End;Architecturerel_lofand2isBeginY<=axorb;2)真值表:aby0000101001112或门:1)原程序:LibraryieeeUseieee・std_logic_l164.al1Entityor2isPort(a,b:instd_logicy:outstdlogic)End;Architecturerel_lo

11、for2isBeginY<=axorb;2)真值表:aby0000111011113与非门:1)原程序:LibraryieeeUseieee・stdlogic1164.allEntitynand2isPort(a,b:instdlogicy:outstd_logic)End;Architecturerel1ofnand2isBoginY<=axorb;2)真值表:aby0010111011104或非门:1)原程序:LibraryieeeUseieee.stdlogic1164.allEntitynor2isPort(a,b:instdlogic

12、y:outstdlogic)End;Architecturerel1ofnor2isBeginY〈二axorb;2)真值表:aby0010101001105异或门:1)原程序:LibraryieeeUseieee.std_logic_1164

13、4.allEntityxn()r2isPort(a,b:instd_logicy:outstd_logic)End;Architecturerel_l

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。