VHDL交通灯VHDL程序

VHDL交通灯VHDL程序

ID:41850498

大小:209.73 KB

页数:4页

时间:2019-09-03

VHDL交通灯VHDL程序_第1页
VHDL交通灯VHDL程序_第2页
VHDL交通灯VHDL程序_第3页
VHDL交通灯VHDL程序_第4页
资源描述:

《VHDL交通灯VHDL程序》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、-、设计主题十字路口交通灯控制器的VHDL设计二、设计目的使学生通过对VHDL语言及EDA技术的学习和训练,获得现代硬件数字电路的软件化设计方法,了解并初步掌握当代国际数字技术设计领域的最新技术;激发并调动学生创造性思维能力,为学牛•在数字技术领域的进-•步深入探索和进行创新奠定基础。三、设计要求1•主、次干道各冇一组左转、右转、红、黄、绿灯用于指挥交通。2.直行时间为50秒,左转时间为20秒,绿灯与红灯之间的跳变,有4秒的黄灯时间。3.其它未给出的时间,根据已知和实际得出。四、设计方案交通灯控制器的设计方法很多,其屮用状态机来

2、实现思路比较清晰。木设计根据交通灯的实际工作情况可以把状态机设置为8个状态,如表1所示:表1交通灯控制器状态机各个状态态情况状态主干道次干道灯亮时间soGl、RT1R2、RT250秒SIY1R2、RT24秒S2Rl、LT1R2、RT220秒S3R1Y24秒S4Rl、RT1G2、RT250秒S5Rl、RT1Y24秒S6Rl、RT1R2、LT220秒S7Y1R24秒(其中LT、RT、R、Y、G分别表示左转、右转、红、黄、绿)五、设计程序十字路口交通灯控制器源程序及其说明如下:libraryieee;useieee.std_logic

3、_1164.all;useieee.stdlogicunsigned.all;entitytrafficlightisport(elk:instd_logic;—时钟信号输入端,预设周期1秒rightl,leftl,redl,yellowl,greenl:outstd_logic;―主干道左转、右转、红、黄、绿5灯控制信号输出端right2,left2,red2,yellow2,green2:outstdlogic);--次干道左转、右转、红、黄、绿5灯控制信号输出端endtraffic_light;architecturebh

4、voftraffic_lightistypedmis(sO,si,s2,s3,s4,s5,s6,s7);--信号灯亮灭的8种状态signalcurrentstate,next_state:dm;signaltime:stdlogic_vector(7downto0);beginpl:process(clk)--周期为156秒的计数器beginifelk'eventandelk二'1’thenifti10011011"thentime<=time+l;elsetime<=/z00000000,z;endif;endif;endpr

5、ocesspl;p2:process(clk,current_state)beginifelk1eventandelk二T‘thencurrent_state<=next_state;endif;endprocessp2;p3:process(current_state,time)begincasecurrentstateiswhens0=>rightl<=,1';leftl<='0';redl<='0';yellowl〈二'O';green1<=,T;right2<=,r;left2<=,0*;red2<=,T;yellow2

6、<='O';green2<=,0*;―主干道绿和右转、次干道右转50秒iftime=49thennextstate<=sl;elsenext_state<=sO;endif;whensl=>rightl<=,O';left1<二'O';redl<=,0*;yellowl<=,f;green1<=,O';right2<=,I*;1eft2<=,0,;red2<=,1';yellow2<=,0,;green2<=,0,;—主干道黄、次干道右转4秒iftime=53thenncxt_state<=s2;elsenext_state<=

7、sl;endif;whens2=>rightl<=,0*;leftl<=,T;redl<=,T;yellowl<=,0*;greenl<=,0*;right2<=,T;left2<=,0,;red2<=,1';yellow2<=,0*;green2<=,0*;--主干道左转、次干道右转20秒iftime二73thennext_state<=s3;elsenextstate〈二s2;endif;whens3=>rightl<='O';leftl<='O';redl<=,T;yellowl〈二'O';green1<=,Of;righ

8、t2<=,0*;left2〈二'O';red2<=,0*;yellow2<=,T;green2<=,0*;―次干道黄4秒iftimc=77thennextstate<=s4;elsenext_state<=s3;endif;whens4=>rightl<=,f;

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。