VHDL例程代码

VHDL例程代码

ID:42345773

大小:791.51 KB

页数:95页

时间:2019-09-13

VHDL例程代码_第1页
VHDL例程代码_第2页
VHDL例程代码_第3页
VHDL例程代码_第4页
VHDL例程代码_第5页
资源描述:

《VHDL例程代码》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、【例3-1】2选1多路选择器程序。(P31)LIBRARYIEEE;--IEEE库使用说明语句USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux21IS--实体说明部分PORT(a,b:INSTD_LOGIC;s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDENTITYmux21;ARCHITECTUREmux21aOFmux21IS--结构体说明部分BEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHIT

2、ECTUREmux21a;【例3-2】有类属说明的2输入与非门的实体描述。(P33)ENTITYnand2ISGENERIC(t_rise:TIME:=2ns;t_fall:TIME:=1ns)PORT(a:INBIT;b:INBIT;s:OUTBIT);ENDENTITYnand2;【例3-3】n输入与非门的实体描述:(P33)ENTITYnand_nISGENERIC(n:INTEGER);PORT(a:INSTD_LOGIC_VECTOR(n-1DOWNTO0);s:OUTSTD_LOGIC);ENDENTITYnand_n;例3-

3、4】半加器的完整VHDL描述,其中x、y为加数与被加数,s为和信号,c为进位信号。(P36)ENTITYhalf_adderISPORT(x,y:INBIT;s:INBIT;c:OUTBIT);ENDENTITYhalf_adder;ARCHITECTUREdataflowOFhalf_adderISBEGINs<=xXORy;c<=xANDy;ENDARCHITECTUREdataflow;【例3-5】2选1多路选择器的行为描述程序。(P37)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmu

4、x21ISPORT(a,b:INSTD_LOGIC;s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDENTITYmux21;ARCHITECTUREbehavOFmux21ISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREbehav;【例3-6】2选1多路选择器数据流描述程序。(P36)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux21ISPORT(a,b:

5、INSTD_LOGIC;s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDENTITYmux21;ARCHITECTUREdataflowOFmux21ISBEGINy<=(aAND(NOTs))OR(bANDs);ENDARCHITECTUREdataflow;【例3-7】2选1多路选择器结构描述程序。(P37)LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand21ISPORT(i0,i1:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDENTITYand21;

6、ARCHITECTUREoneOFand21ISBEGINq<=i0ANDi1;ENDARCHITECTUREone;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYor21ISPORT(i0,i1:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDENTITYor21;ARCHITECTUREoneOFor21ISBEGINq<=i0ORi1;ENDARCHITECTUREone;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYinv21IS

7、PORT(i0:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDENTITYinv21;ARCHITECTUREoneOFinv21ISBEGINq<=(NOTi0);ENDARCHITECTUREone;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux21ISPORT(a,b:INSTD_LOGIC;s:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDENTITYmux21;ARCHITECTUREstructOFmux21ISCOMPONENTand21POR

8、T(i0,i1:INSTD_LOGIC;q:OUTSTD_LOGIC);ENDCOMPONENT;COMPONENTor21PORT(i0,i1:INSTD_LOGIC;q:OUTSTD_LO

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。