DDS正弦信号发生器

DDS正弦信号发生器

ID:42604902

大小:336.79 KB

页数:3页

时间:2019-09-18

DDS正弦信号发生器_第1页
DDS正弦信号发生器_第2页
DDS正弦信号发生器_第3页
资源描述:

《DDS正弦信号发生器》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、DDS正弦信号发生器一、首先需要一个存储器: Libraryieee;Useieee.std_logic_1164.all;entitymy_dffisPort(d:instd_logic_vector(11downto0);   clk:instd_logic;   Y:outstd_logic_vector(11downto0));end;architectureddofmy_dffisbeginy<=dwhen(clk'eventandclk='1');end;编译完成生成原理图图形符号。二、建立一个正弦函数查表宏模块 1.

2、用波形函数Guagle_wave产生正弦函数sin.mif文件。   1)打开Guagle_wave软件,在查看中设置全局参数1024、2048,......   2)在设定波形中选择正弦波;   3)选择另存为sin.mif文件。 2.在quartusII界面下建sinx项目。  1) 打开QuartusII,建立sinx项目  2)选择New /OtherFile/Hexadecimal(Intel-Format)File ,点击OK,数据宽度选择256,即8位。  3)点击Open,打开刚建立的sin.mif ,将sin.m

3、if中的数据复制到新建.hex表格中,保存为项目文件代hex后缀文件  4)点击Tools/MeagWiziardPlug-InManmager  5) 在弹出的窗口中单选Createanewcustonmegafunctionvariation,点下一步NEXT  6)在弹出的对话框中,单选VHDL,在Whatnamedoyouwantfortheoutputfile下的框中,选择项目路径 和项目名,注意后缀.vhd     在左边的菜单中选择memorycompiler下的ROM:1-PORT,点击Next  7)在弹出的对话

4、框中选8bits,xxxxwords,其中xxxx要和表格一致。     单选选Auto 和Dualclock:Useseparate‘input’and'output'clocks,然后Next  8)在弹出对话框中,去掉‘q'outputport前的对勾,即没有对勾。点Next。  9)单选Yes,UsethisFileformemorycontentdata.....     浏览选择刚建立的代后缀hex的项目文件,即正弦函数函数表文件。勾选AllowIn-SystemMemoryContent...     再将框中的NO

5、NE改为ROM,点Next。  10)选VHDL,点完成。  11)再点击Assignments/Settings...在弹出对话框中选Analy&Synthesissettings下的DefaultParameters      在右边的Name:中输入CYCLONEII_SAFE_WRITE      在Deaultsetting:中输入“VERIFIED_SAFE”后点击ADD,然后点击OK  12)编译。编译成功后点击打开sinx左边的“+”,双击双面的文件,然后生成原理图符号。三、建立DDS正弦项目   1)建立正弦项目

6、,选择 原理图文件   2)调用加法器宏模块   3)绘制原理图     数字波形仿真调整步宽,可以改变频率

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。