毕业设计基于VHDL的汉字点阵动态显示系统的设计

毕业设计基于VHDL的汉字点阵动态显示系统的设计

ID:43589359

大小:1.01 MB

页数:15页

时间:2019-10-11

毕业设计基于VHDL的汉字点阵动态显示系统的设计_第1页
毕业设计基于VHDL的汉字点阵动态显示系统的设计_第2页
毕业设计基于VHDL的汉字点阵动态显示系统的设计_第3页
毕业设计基于VHDL的汉字点阵动态显示系统的设计_第4页
毕业设计基于VHDL的汉字点阵动态显示系统的设计_第5页
资源描述:

《毕业设计基于VHDL的汉字点阵动态显示系统的设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、目录摘要1关键词1Abstract1Keywords1引言11设计方案1.1方案一21.2方案二21.3方案比较32扫描控制模块2」LED点阵原理32.2汉字的存储42.3汉字的显示52.4滚动速度的控制63VHDL语言程序设计3」扫描频率控制的部分关键程序63.2单个汉字扫描的部分关键程序73.3汉字滚动速度控制的部分关键程序84系统调试4.1开发环境介绍94.2调试、仿真与体会105系统功能、指标参数146设计结论14致谢14参考文献14基于VHDL的汉字点阵动态显示系统的设计摘要:主要研究基于VHDL的Led点阵汉字

2、滚动显示。首先描述了基于现场可编程门阵列(FPGA)的硬件电路,以及点阵显示汉字的原理;然后在单个16X16LED发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的VHDL语言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫描结果,其硬件系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。关键词:LED点阵;FPGA;VHDL语言;汉字滚动显示DesignofChinesecharactersscrollingdisplayonLEDdotmatrixbasedonVHDLAbstract:Thed

3、esignofthissubjectmainlyresearchesChinesecharactersscrollingdisplayonLEDdotmatrixscreenbasedonFPGAandVHDL•Thisarticlefirstlydescribesthehardwarecircuitbasedonlogiccellarray,aswellastheprincipleofChinesecharactersdisplayonLEDdotmatrix.Finally,thearticlegivesthemeth

4、odofVHDLdesignwhichdescribesthefunction.ThisdesignrealizestheresultsofChinesecharactersscrollingandscanningcorrectlybyprogramming,debugging,sinulationanddownload,Alsotheexperimintalverificattionofhardwaresystemshasreceivedtheconclusionsinaccordancewiththeresultsof

5、softwaresimulation・Keywords:LEDdotmatrix;FPGA;VHDL;Chinesecharactersscrollingdisplay引言随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付岀

6、较多研发经费和较长投放市场周期的代价。随着电子设计自动化(EDA)技术的进展,基于可编程FPGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本文主要阐述基于FPGA和VHDL语言实现在16*16点阵上的汉字滚动显示问题。1设计方案1.1方案一本文系统的LED点阵模块,共由16X16=256个LED发光二极管组成。如何在该点阵模块上显示汉字是木文设计的关键技术。木文系统设计是采用一种16路动态分时扫描技术来实现的。具体方法是,将16X16数组的显示模块的行输入端与FPGA内的只读存储器

7、ROM的16位数据输出端口相连;16个列控制端与一个4-16译码器A的输出相连;而译码器A的输入端和片选信号乂与FPGA内的列扫描控制模块的输出端口scan4-scan0相连。图1」为方案的结构框图。16X16lh>点甘图1・1系统的结构框图1.2方案二VHDL程序设计的是硬件,他和编程语言的最大区别是它可以“并发执行”。本设计可以将LED显示屏耍的显示内容抽象成一个二维数组(数组中的‘1’对映点阵显示屏上面的亮点),用VHDL语言设计一个进程将这个数组动态显示图1.2方案二的原理框图在LED显示屏上,再利用另一个进程对这

8、个数组按一定频率进行数据更新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果对数组中的汉字数据按滚动的方式更新,则可实现汉字的滚动显示。图1.2为该方案的原理图。1.3方案比较方案一很容易实现,而且占用FPGA的资源较少。但是由于其实现方式的局限性,该方案只能实现汉字的滚动显示。方案二

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。