EDA实验指导书(页)

EDA实验指导书(页)

ID:45755820

大小:289.60 KB

页数:16页

时间:2019-11-17

EDA实验指导书(页)_第1页
EDA实验指导书(页)_第2页
EDA实验指导书(页)_第3页
EDA实验指导书(页)_第4页
EDA实验指导书(页)_第5页
资源描述:

《EDA实验指导书(页)》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验一熟悉QUARTUSII的设计过程1实验二一位二进制全加器的VHDL设计5实验三用原理图输入法设计8位全加器8实验四设计含异步清零和同步时钟使能的加法计数器…10实验五数控偶数倍分频器的设计12实验六用状态机实现序列检测器的设计1516实验七:数字钟的设计16实验八:等精度频率计设计实验一熟悉QUARTUSII的设计过程[输入方式:文本输入、图形输入、波形输入等]一、实验目的:1、掌握QUARTUSII安装过程;2、熟悉QUARTUSII设计环境;3、掌握QUARTUSII的设计过程。二、实验內容及步骤(一)、安装QUARTUSIE注:第一%安裂QUARTUS麥安製•

2、(二)、QUARTUSII设计开发步骤一、创建工作文件夹在windows>

3、*建立一个文件夹(乂称工作库或WORKLIBRARY),用于保存设计工程项目的有关文件。注:设计工程项目的有关文件不能保存在根目录下,必须保存在一个文件夹之下。例如建立的文件夹:E:miix.二、启动QuartusII点击QUARTUSII7.0图标打开QUARTUSII7.0设计窗口。三、设计文件输入1、打开输入文件编辑器点击菜单Filccw…新建立一个文本设计文件。用文本输入法输入程序。程序见附录。2、保存文件,文件名名同实体名。后缀.VHD四、逻辑综合1、创建工程点击菜单FileNe

4、wProjectWizard进行工程设置。2、编译设置⑴选择PLD芯片:AssignmenmtsSettingsDevice弹出的窗口中选择选择芯片。(2)选择配置芯片的工作方式:AssignmenmtsSettingsDeviceDevice&PinOptions弹出的窗口中首选General项,在Options栏中选择Auto-restart-configurationaftererror・⑶选择配置芯片和编程方式:AssignmenmtsSettingsDeviceDevice&PinOptions弹岀的窗口中选择Configuration栏,在窗口

5、中设證配置方式,配置芯片和是否牛成压缩的配置文件。⑷选择输出设置:保持默认。(5)选择目标器件闲置引脚的状态:AssignmenmtsSettingsDeviceDevice&PinOptions弹出的窗口中选择UnusedPins栏,在窗口中对闲置的引脚设置,推荐设置为AsoutputdrivinggroucL3、全程编译:ProcessingStartComp订ationo完成对设计项目的检错、逻辑综合、结构综合、配置文件生成以及时序分析。五、功能或吋序仿真建议先做功能仿真,以检验设计项冃的逻辑真确性,这样可以提供设计效率。1、功能仿真设iff:Assignm

6、enmtsSettings弹出的窗口屮选择SimulatorSettingSo在右边Simulationmode中选择Functional.2、ProcessingGenerateFunctionalSimu1ationnet1ist,生成功能仿真所需的文件。3、建立波形文件并功能仿真(l)FileNewOtherfiles,在窗口中选择VectorWaveformf订e打开波形编辑器。⑵设置仿真时间区域:可默认。一般几十微妙。时间区域过长,使仿真时间变长,影响仿真效率。⑶在波形编辑器中添加项Fl的相关引脚。原则上是所冇引脚,但冇的项冃引脚很多,可以只添加必要的一

7、些引脚。View'Ut订ityWindowsNodeFinder,在弹出的窗口中将所需引脚拖入波形编辑器中。⑷编辑输入波形:対所有的输入引脚设置合适的波形。⑸丿訂动仿真器:ProcessingStartSimulation.⑹观察分析仿真结果。仿真结构保存于文件“SimulationReport”,此文件在仿真完成后会自动弹出。若仿真结果有出入,垂新修改程序,直到仿真结果没有问题。六、管脚锁定并结构综合(适配)。见教材七、进时序仿真,验证设计的时序是否满足设计要求。见教材八、执行文件汇编,生成卜-载所需的文件。见教材九、编程下载(可以不做)。见教材;注:详细的使用说明

8、见教材相关章节。附录:LIBRARYIEEE;USEIEEE.STD_LOG1C^1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT10ISPORT(CLK,RST,EN:INSTD.LOGIC;CQ:OUTSTDJLOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT10;ARCHITECTUREbehavOFCNT10ISBEGINPROCESS(CLK,RST,EN)VARIABLECQI:STD_LOGIC_VECTOR(3DOW

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。