VHDL语言教学几个关键问题探析

VHDL语言教学几个关键问题探析

ID:46049252

大小:64.13 KB

页数:5页

时间:2019-11-20

VHDL语言教学几个关键问题探析_第1页
VHDL语言教学几个关键问题探析_第2页
VHDL语言教学几个关键问题探析_第3页
VHDL语言教学几个关键问题探析_第4页
VHDL语言教学几个关键问题探析_第5页
资源描述:

《VHDL语言教学几个关键问题探析》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、VHDL语言教学几个关键问题探析摘要:针对在VHDL语言课程教学中的几个关键问题进行探讨,提出了硬件描述语言的特征、组合电路和时序电路描述方法的区别、信号和变量的合理运用及进程的作用等问题的重要性,通过举例的方法对这几个问题进行详细分析,达到直观易懂的效果。从多轮教学效果来看,所提出的方法对VHDL语言教学,以及VHDL语言的程序设计都具有一定的指导意义。关键词:VHDL;教学;关键问题分析ThediscussionofseveralkeyproblemsinVHDLlanguageteachingZhaoHangl,ZhangWenting21.Sanjiangunivers

2、ity,Nanjing,210012,China2.Na.njingpannengtechnologydevelopmentco.,Nanjing,210061,ChinaAbstract:ThispaperattempttodiscussseveralkeyproblemsinVHDLlanguageteaching・Onthisbasis,theauthorcomesupwiththeimportanceofproblems,whichincludethefeaturesofhardwaredescriptionlanguage,thedescriptionstyledis

3、tinctionofthecombinationalcircuitandsequentialcircuit,useinsignalandvariable.Theauthorhascarriedonthedetailedanalysistotheseproblemsbywayofexamples,soastoachieveaneffectofvisualandeasilyunderstand.Seeingfromtheseveralroundsofteachingeffect,theproposedapproachhasacertainguidancemeaninginVHDLl

4、anguageandVHDLlanguageprogramming.Keywords:VHDL;teaching;keyproblemanalysisVHDL(VeryhighspeedintergatedcircuitHardwareDescriptionLanguage)是指超高速集成电路硬件描述语言。它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级方式相比,它更适合大规模系统的设计。因此很多高校的信息技术、计算机技术等专业都开出了VHDL设计课程[1]。笔者在教学过程中发现学生学习VHDL语言时会受到已经学习过的其他软件语言的影响,对VHDL语

5、言作为硬件语言的一些特性和语法问题理解不够,往往会影响到对VHDL语言的灵活合理运用。本文结合多轮课程教学实践,针对学生最难掌握的几个问题做了深入探讨。1对VHDL作为硬件描述语言的特征的理解学好VHDL的关键是充分理解VHDL语句和硬件电路的关系。编写VHDL,就是在描述一个电路,我们写完一段程序后,应当对生成的电路有一些大体上的了解,而不能用纯软件的设计思路来编写硬件描述语言[2]。下面以四选一数据选择器的设计为例来加以说明。四选一数据选择器是一种常用的组合逻辑电路,用VHDL语言设计比较简单,可以用when_else语句实现,也可以用with_select语句实现,两者仿

6、真结果相同,但综合的结果不同。具体情况如下:【程序11libraryieee;useieee・std_logic_1164.all;entitymux41aisport(a,b,c,d:instd_logic;s:instd_logic_vector(1downto0);y:outstd_logic);end;architectureoneofmux41aisbeginyb和abthenybthenyqqqqnull;endcase;endprocess;end;程序5的仿真结果如图6所示。从图6中可以看出,输出信号q并没有得到预想的波形,而是未知数据,这是因为muxval在程

7、序中被定义为信号,而信号的含义是电路的实际硬件连接,因此对信号赋值存在延时[5]。故此在case语句中根据muxval值去选择不同的信号进行输出时,muxval的值还没有产生,因此结果不对。为了产生正确的结果,对本程序,只需要把muxval的定义改为变量,其他地方做适当修改,则可得到如图7所示的正确仿真结果。4结束语在VHDL设计课程教学中,笔者特别注意上述几个问题的讲解和举例说明,力求使学生对这些问题理解透彻,让学生真正认识到运用VHDL语言进行电路设计,不仅要熟悉VHDL语言的基本语法和

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。