基于CPLD的数字时钟电路设计【开题报告】

基于CPLD的数字时钟电路设计【开题报告】

ID:463187

大小:26.67 KB

页数:4页

时间:2017-08-05

基于CPLD的数字时钟电路设计【开题报告】_第1页
基于CPLD的数字时钟电路设计【开题报告】_第2页
基于CPLD的数字时钟电路设计【开题报告】_第3页
基于CPLD的数字时钟电路设计【开题报告】_第4页
资源描述:

《基于CPLD的数字时钟电路设计【开题报告】》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、毕业论文开题报告电气工程及其自动化基于CPLD的数字时钟电路设计一、课题研究意义及现状在电子设计技术领域可编程逻辑器件如CPLD的广泛应用为数字系统的设计带来极大的灵活性,由于该器件可以通过软件编程而对其硬件的结构和工作方式进行重构,使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法。设计过程乃至设计观念在传统的数字系统设计中用户能够通过编程方式改变器件逻辑功能只有两种途径即微处理器的软件编程如单片机和特定器件的控制字配置。在传统的设计概念中器件引脚功能的硬件方式的任意确定是不可能的,而对于系统构成的设计过程只能对器件功能和电路板图分别进行设计和确定通过设计电

2、路板来规划系统功能。在此期间大量的时间和精力花在元件选配和系统结构的可行性定位上。但若采用可编程逻辑器件便可利用计算机软件的方式对目标器件进行设计,而以硬件的形式实现即定的系统功能。在设计过程中设计者可根据需要随时改变器件的内部逻辑功能和管脚的信号方式,借助于大规模集成的可编程逻辑器件和高效的设计软件用户不仅可通过直接对芯片结构的设计实现多种数字逻辑系统功能而且由于管脚定义的灵活性大大减轻了电路图设计和电路板设计的工作量和难度。同时这种基于可编程逻辑器件芯片的设计大大减少了系统芯片的数量,缩小了系统的体积提高了系统的可靠性。高集成度高速和高可靠是FPGA/CPLD,最明显的特点:时钟延迟可达纳

3、秒级结合其并行工作方式在超高速应用领域和实时测控方面有非常广阔的应用前景。CPLD/FPGA的高可靠性还表现在几乎可将整个系统下载于同一芯片中实现所谓片上系统,从而大大缩小了体积易于管理和屏蔽。由于FPGA/CPLD的集成规模非常大,可利用先进的EDA工具进行电子系统设计和产品开发。由于开发工具的通用性,设计语言的标准化以及设计过程几乎与所用器件的硬件结构没有关系,所以设计成功的各类逻辑功能块软件有很好的兼容性和可移植性。它几乎可用于任何型号和规模的FPGA/CPLD中。从而使得产品设计效率大幅度提高。可以在很短时间内完成十分复杂的系统,设计这正是产品快速进入市场最宝贵的特征美国TI公司认为一

4、个ASIC80%的功能可用IP核等现成逻辑合成,而未来大系统的CPLD/FPGA设计仅仅是各类再应用逻辑与IP核的拼装。其设计周期将更短与ASIC设计相比FPGA/CPLD显著的优势是开发周期短投资风险小。产品上市速度快市场适应能力强和硬件升级回旋余地大而且当产品定型和产量扩大后可将在生产中达到充分检验的VHDL设计迅速实现ASIC投产。二、课题研究的主要内容和预期目标1.主要内容本次课题,主要研究的是如何利用CPLD制系统的主控模块,该模块主要能实现如下几个功能:对初始频率信号的显示、分频、输入控制分频、分频后的显示。完成软件编程的同时,对CPLD开发板进行调试,实现功能的仿真显示。键盘DS

5、PCPLD显示器2.预期目标1)预期设计一个奇偶分频器,利用CPLD软件编程实现数字时钟电路,主要由DSP,CPLD,键盘和显示器四部分组成。2)由于主要是对主控模块进行实现,那么利用CPLD设计分频器程序编译成功后,还需要对编译好的程序进行波形图的仿真,通过调节参数来观察程序能否实现数字时钟的显示。3)然后利用CPLD的开发板进行硬件调试。三、课题研究的方法及措施1.研究方法首先要对分频器的和COLD进行了解,这需要查阅一定的资料,清楚分频器的原理,各项功能的实现,CPLD的硬件功能和其内部的逻辑功能。因为本次研究完成的主要是对频率分频器的主控模块进行编程,其功能模块主要时分日的显示、日期的

6、显示、秒表的显示,那么要根据相关的资料对这些模块进行编译。编译通过后,先用软件进行波形图的仿真,查看其结果。如果波形图软件仿真通过后,我设想是把程序下到CPLD开发板中,通过对按键和显示的设置,并用几次不同的购买情况来验证程序的正确性,到达预期的效果。2.研究措施1)收集查阅DSP以及CPLD的相关资料,对CPLD的应用设计情况进行了熟悉了解;了解不同的设计实现方法,比较现有的相关方案,确定本课题研究和实现方案,然后对方案中的各单元进行了必要的分析和研究。2)对所研究的频率分频器功能进行分类,具体分成输入分频,分频和显示功能,并对各个功能进行软件编程。3)完成了编程以后,要利用软件的仿真功能对

7、编完的程序进行波形图仿真,通过波形的变化来初步判断程序是否满足预先所要求的功能。4)然后利用CPLD的开发板进行硬件调试。我将设置一个时间,然后根据其他计时工具校正。四、课题研究进度计划1.2010.9.13至2010.11.19分析任务,查阅DSP以及CPLD设计相关的资料;对资料进行消化,进行理论准备,方案的考虑和设计;基本完成开题报告、中、英文翻译和文献综述。2.2011.1.21至2011

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。