实验四 数码管显示实验

实验四 数码管显示实验

ID:46405525

大小:23.42 KB

页数:5页

时间:2019-11-23

实验四  数码管显示实验_第1页
实验四  数码管显示实验_第2页
实验四  数码管显示实验_第3页
实验四  数码管显示实验_第4页
实验四  数码管显示实验_第5页
资源描述:

《实验四 数码管显示实验》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验四:数码管显示实验一、实验目的:学习七段数码管显示译码器的设计,进一步了解、熟悉和掌握FPGA开发软件QuatusII的使用方法和VHDL的编程方法。二、实验内容:smartSOPC实验箱上有2个4位动态共阳数码管LED12和LED13。本实验的内容是建立7段译码显示模块,用于控制LED数码管的动态显示。要求在smartSOPC实验箱上的数码管循环依次显示0—9,A—F共16个字符。三、实验原理:1.电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机

2、接口电路。通常点亮一个LED所需的电流在5~20mA之间,电流愈大,LED的亮度也高,相对的使用寿命也愈短。若以10mA导通电流来估算一个接5V的串接电阻值计算应为:(5-1.6)/10mA≈0.34KΩ。2.七段显示数码管分为共阳、共阴二种极性。它们等效成八个LED相连电路。3.共阴极七段显示器的LED位置定义和等效电路共阴极七段显示码十六进制转换表十六进制码共阴极七段显示码NumD8D4D2D1gfedcba0000001111111000100001102001010110113001110

3、01111401001100110501011101101601101111101701110000111810001111111910011101111A10101110111B10111111100C11000111001D11011011110E11101111001F111111100011.实验步骤1)建立一个新的工程,编写VHDL程序源代码;2)实验箱配置引脚如表2.3,在引脚适配过程中配置相应的引脚。3)点击综合按键,对系统进行综合;4)取出smartSOPC实验箱,接通电源(线路已

4、连接,不需要另连线路);5)将程序下载到实验箱,并进行实验,观察实验结果,并分析其原因。2.实验参考程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYSLEDsISPORT(clk:INSTD_LOGIC;dig:OUTSTD_LOGIC_VECTOR(7DOWNTO0)seg:OUTSTD_LOGIC_VECTOR(7DOWNTO0))

5、;END;ARCHITECTUREONEOFSLEDsISSIGNALCounter:IntegerRANGE0TO48000000;SIGNALclk1:STD_LOGIC;SIGNALd:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALseg_r:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(clk)BEGINIF(clk'EVENTANDclk='1')THENIFCounter=48000000-1THENcounter<=0;clk1<

6、=Notclk1;ELSECounter<=Counter+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(clk1)BEGINIF(clk1'EVENTANDclk1='1')THENd<=d+1;ENDIF;ENDPROCESS;PROCESS(d)BEGINCASEdISWHENX"0"=>seg_r<=X"c0";WHENX"1"=>seg_r<=X"f9";WHENX"2"=>seg_r<=X"a4";WHENX"3"=>seg_r<=X"b0";WHENX"4"=>s

7、eg_r<=X"99";WHENX"5"=>seg_r<=X"92";WHENX"6"=>seg_r<=X"82";WHENX"7"=>seg_r<=X"f8";WHENX"8"=>seg_r<=X"80";WHENX"9"=>seg_r<=X"90";WHENX"a"=>seg_r<=X"88";WHENX"b"=>seg_r<=X"83";WHENX"c"=>seg_r<=X"c6";WHENX"d"=>seg_r<=X"a1";WHENX"e"=>seg_r<=X"86";WHENX"f"=

8、>seg_r<=X"8e";WHENOTHERS=>seg_r<=X"FF";ENDCASE;ENDPROCESS;seg<=seg_r;END;1.实验思考1)实现数码管的动态显示,既8个数码管分别显示0—7共8个数解:程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYSLEDSISPORT(clk:INSTD_L

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。