MULTLABDSPbuilder硬件模块设计

MULTLABDSPbuilder硬件模块设计

ID:47082473

大小:4.93 MB

页数:30页

时间:2019-07-19

MULTLABDSPbuilder硬件模块设计_第1页
MULTLABDSPbuilder硬件模块设计_第2页
MULTLABDSPbuilder硬件模块设计_第3页
MULTLABDSPbuilder硬件模块设计_第4页
MULTLABDSPbuilder硬件模块设计_第5页
资源描述:

《MULTLABDSPbuilder硬件模块设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用文档第六章Matlab/Dspbuilder硬件模块设计Matlab是国内强大的数学分析工具,广泛用于科学计算和工程计算,还可以进行复杂的数字信号处理系统的建模、参数估计及性能分析。Simulink是Matlab的一个组成部分,用于图形化建模仿真。DSPBuilder是Altera公司推出的一个面向DSP开发的系统级工具,它构架在多个软件工具之上,并把系统级(算法级建模)和RTL级(硬件实现)两个设计领域的设计工具连接起来放在Matlab/Simulink平台上,而将QuartrsⅡ作为底层设计工具

2、置于后台,从而最大程度地发挥了这三种工具的优势。DSPBuilder作为Simulink中的一个工具箱,使得用FPGA设计DSP系统完全可以通过Simulink的图形化界面进行,只要简单地进行DSPBuilder工具箱中的模块调用即可。Matlab/DSPBuilder尤其适用于一些在QuartusⅡ上不方便完成或不能完成的设计项目(如涉及算法类及模拟信号处理与生产方面的系统处理)。DSPBuilder还可以自动完成大部分的设计过程和仿真,直到把设计文件下载到FPGA中。DSPBuilder提供了Qua

3、rtus®II软件和MATLAB/Simulink工具之间的接口。其具有如下特性:1.用于连接Mathwork的MATLAB(信号处理工具箱和滤波器设计工具箱),Simulink环境和Altera®的QuartusII设计软件环境。2.支持Altera的DSP核,这些核均可以从Altera的网站上下载(例如:FIRCompiler、Reed-SolomonCompiler等等)。3.可以利用Altera的DSP开发板来快速的实现设计的原型。4.支持SignalTap®II逻辑分析仪(一种嵌入式的信号分析

4、仪,它可以探测到DSP开发板上Altera器件内部的信号,并把数据引入到MATLAB的工作区以便于进行可视化的分析)。5.包括了用户可以创建的定制的逻辑,用于配合SOPCBuilder和Nios®II嵌入式处理器设计。6.包括了PLL块,用于多时钟设计。7.包括了状态机块。8.针对DSP系统的算法和实现,支持统一的表示方法。9.根据MATLAB和Simulink的测试矢量,可以自动生成VHDL测试激励或QuartusII矢量文件(.vec)。10.自动调用VHDL综合器和QuartusII编译器。11.

5、仿真可以设定为比特或周期精度。12.提供多种的定点运算和逻辑操作,用于配合使用Simulink软件。13.支持多种Altera的器件:Stratix、StratixII和StratixGX器件;Cyclone和CycloneII器件;APEXII、APEX20KC和APEX20KE器件;Mercury器件;ACEX®1K器件;FLEX®10K和FLEX6000器件。利用Matlab和DSPBuilder进行模块设计也是SOPC技术的一个组成部分。这是由于利用Matlab/DSPBuilder/Quart

6、rsⅡ可完成纯硬件的DSP算法模型及实现,从而构成嵌入式系统外围接口的协处理模块,再进一步构成软件程序中的精简指令,DSP模块或其他功能模块可以成为单片FPGA电路系统中的一个组成部分,而且通过Matlab/DSP标准文案实用文档Builder,可以直接为Nios嵌入式处理器设计各类加速器,并以指令的形式加入到Nios的指令系统,从而成为Nios系统的一个接口设备,与整个片内嵌入式系统融为一体。即利用DSPBuilder和基本的NiosCPU,用户可以根据项目的要求,自己构建自己需要的DSP处理系统。本

7、章主要介绍利用Matlab/DSPBuilder/QuartrsⅡ三个工具软件联合开发的设计流程。图6—1为Matlab/DSPBuilder/QuartrsⅡ联合应用框图。由图6—1可见,设计流程从利用建立DSP电路模型开始,电路模型的建立可以是图形化的,利用Simulink和DSPBuilder中提供的丰富的功能模块和IP核进行设计。DSPBuilder中包含了算术和存储功能等设计模块以及IP库中的许多复杂功能模块。电路中的功能模块和IP的技术参数、数据格式、数据类型和总线宽度等都可以直接设置。电路

8、模型设计完成后,可以进行系统级的模型仿真,这与目标器件和硬件系统没有关系,是基于算法的仿真。下个步骤是利用置于Simulink电路模型界面的DSPBuilder的SignalCompiler,将电路模型文件,即Simulink电路模块文件(.mdl)转换成RTL级的VHDL代码表述和工具命令语言(Tcl)脚本。一旦获得转换好的VHDL描述,在Simulink中即可调用VHDL综合器了,目前可选用的综合器有QuartrsⅡ、LeonardoS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。