8位乘法器设计

8位乘法器设计

ID:47324216

大小:173.61 KB

页数:10页

时间:2020-01-10

8位乘法器设计_第1页
8位乘法器设计_第2页
8位乘法器设计_第3页
8位乘法器设计_第4页
8位乘法器设计_第5页
资源描述:

《8位乘法器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA大作业8位二进制乘法电路•1.设计要求8位二进制乘法采用移位相加的方法。即用乘数的各位数码,从低位开始依次与被乘数相乘,每相乘一次得到的积称为部分积,将第一次(由乘数最低位与被乘数相乘)得到的部分积右移一位并与第二次得到的部分积相加,将加得的和右移一位再与第三次得到的部分积相加,再将相加的结果右移一位与第四次得到的部分积相加。直到所有的部分积都被加过一次。例如:被乘数(M7M6M5M4M3M2M1M0)和乘数(N7N6N5N4N3N2N1N0)分别为11010101和10010011,其计算

2、过程如下:11010101×1001001111010101N0与被乘数相乘的部分积,部分积右移一位11010101N1与被乘数相乘的部分积+1101010110011111111001111111两个部分积之和,部分积之和右移一位+00000000N2与被乘数相乘的部分积0100111111101001111111与前面部分积之和相加,部分积之和右移一+00000000N4与被乘数相乘的部分积······N7与被乘数相乘的部分积+11010101111101001001111与前面部分积之和相

3、加011110100100111右移一位得到最后的积•按照这种算法,可以得到下图所示之框图和简单流程图。图中Y寄存器存放被乘数M,B寄存器存放乘数N,A累加器存放部分积。A和Y中的数据在加法器中相加后送入A中,而A和B相级联又构成了一个16BIT的移位寄存器,当它工作于移位模式时,可以实现数据的右移。由于乘数的每一位不是0就是1,对应的部分积不是0就是被乘数本身,所以实际作部分积相加这一步时,只要根据乘数的对应位判断:如该位为1,则将累加器中的数据加上被乘数再移位;如该位为0时,就不加被乘数而直接

4、移位。运算时首先将累加器A清零,并将被乘数M和乘数N分别存入寄存器Y和B,然后依据寄存器B中最右一位B0(数据N0)确定第一个部分积。将此部分积送入A累加器以后,将A连同寄存器B右移一位,部分积的最低位被移进寄存器B的最左位,乘数的最低位N0被移出寄存器B,而乘数的次低位N1被移至寄存器B的B0位。第二次仍然依据B0位的数据(N1)来确定第二个部分积,将部分积与累加器中的数据相加后右移一位,N1又被移出寄存器,数据N2被移到B0位置。。。。。这样,经过8次部分积相加位的操作,完成1次乘法运算,乘数

5、N恰好被移出寄存器B,寄存器B中保存的就是运算积的低8位数据。移位相加的次数应用一个计数器来控制,每移位一次,计数器计一个数。当计数器计得8个数时,发出一个信号,使电路停止操作,并输出运算结果(流程图是按减法计数器设计的,也可使用加法计数器)。•电路框图简单流程图2.设计方案总结(1)8位移位寄存器模块。可将乘法运算中的被乘数加载于其中,同时进行乘法运算的移位操作。(2)8位加法器模块。这是一个8位加法器,进行操作数的加法运算。(3)一位乘法电路模块。完成8位与1位的乘法运算。(4)乘法控制电路模

6、块。当结果得到时使程序停止。(5)16位移位锁存器。在时钟信号的控制下完成输入数值的锁存与移位。其最终结果就是要求结果。3.系统程序设计及仿真图。(1)8位移位寄存器源代码:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYREGISPORT(REG_CLK,LOAD:INSTD_LOGIC;REG_IN:INSTD_LOGIC_VECTOR

7、(7DOWNTO0);REG_OUT:OUTSTD_LOGIC);ENDREG;ARCHITECTUREARC_REGOFREGISSIGNALREG8:STD_LOGIC_VECTOR(7DOWNTO0);BEGINPROCESS(REG_CLK,LOAD)BEGINIFREG_CLK'EVENTANDREG_CLK='1'THENIFLOAD='1'THENREG8<=REG_IN;ELSEREG8(6DOWNTO0)<=REG8(7DOWNTO1);ENDIF;ENDIF;ENDPROCES

8、S;REG_OUT<=REG8(0);ENDARC_REG;仿真图:(2)8位加法电路源代码:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYADDERISPORT(ADDER_IN:INSTD_LOGIC;A4,B4:INSTD_LOGIC_VECTOR(3DOWNTO0);S4:OUTSTD_LOGIC_VECTOR(3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。