基于multisim的定时器课程设计

基于multisim的定时器课程设计

ID:47477496

大小:614.20 KB

页数:18页

时间:2020-01-11

基于multisim的定时器课程设计_第1页
基于multisim的定时器课程设计_第2页
基于multisim的定时器课程设计_第3页
基于multisim的定时器课程设计_第4页
基于multisim的定时器课程设计_第5页
资源描述:

《基于multisim的定时器课程设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、[键入文字]目录1背景及意义22设计原理及设计方案32.1设计原理32.2设计方案33设计过程43.1基于multisim的设计43.1.1脉冲发生电路43.1.2置数电路53.1.3计数电路63.1.4译码显示电路73.1.5警报电路93.1.6控制电路93.2基于VHDL的设计103.2.1建立工程103.2.2调试程序114运行结果及分析154.1基于multisim的结果及分析154.2基于VHDL的结果分析16总结17参考文献1817[键入文字]1背景及意义随着社会文明的进步和科学技术的发展,先进的

2、电子技术在各个近代跨学科门类和技术领域占有不可或缺的核心地位。在我国现代化得建设的发展进程中,数字电子技术在国民经济和科学研究各个领域的应用也越来越广泛。而计时器恰恰是数字电子技术的一个重要组成部分,在许多的领域中计时器均得到普遍应用,诸如在体育比赛中,定时报警器,游戏中的倒计时器,交通信号灯,红绿灯,行人灯,还可以做时间提醒设备等,由此可见计时器在现代社会是何其重要。本设计为倒计时计时器,其作用范围在1~99s,具有直接清零,启动,暂停和连续以及具有光电报警功能,同时应用了气短数码管来显示时间。此计时器有了

3、启动,暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成中途计时功能,实现了在许多的特定场合进行实践追踪的功能,在社会生活也具有广泛的价值。17[键入文字]2设计原理及设计方案2.1设计原理我们可以用优先编码器74ls147来完成1~99秒的置数功能,用555时基电路构成的多谐振荡器来产生频率为1HZ的脉冲输出周期为1秒的方波脉冲,将该方波脉冲信号送到计数器74ls192的cp减计数脉冲端,在通过译码器74ls48把输入的8421BCD码经过内部作和电路“翻译”成七

4、段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的清零,启动和暂停连续,译码显示电路与亮灯及光电报警灯功能。2.2设计方案通过初步设计,可以确定该系统应该包括置数电路,秒脉冲发生器,计数器,译码显示电路,时序控制电路,警报电路等6部分构成。其中置数电路,计时器和时序控制电路是该系统的主要部分。置数电路完成置数,计数器的主要功能是完成倒计时功能,而控制电路具有计数器的启动计数,暂停,连续计数等功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号

5、的时序关系。在操作直接清零开关时,计时器清零,显示器显示零。当启动开关置1时,控制电路应封锁时钟信号cp,通过置数器置数,计数器完成置数功能,显示器显示所置的数。当启动开关置0时,计数器开始计数。当暂停,连续计数开关拨在暂停位置上,计数器停止计数,处于保持状态;当暂停,连续计数开关拨在连续计数的位置上,计数器继续递减计数。当计数器递减计数到0的时,封锁时钟信号cp,使计数器处于保持状态。系统设计框图如图2.1所示。译码显示计数器置数电路报警电路秒脉冲发生器控制电路图2.117[键入文字]3设计过程3.1基于m

6、ultisim的设计3.1.1脉冲发生电路用555集成电路组成多谐振荡电路为系统提供时钟秒脉冲,555定时器应用为多谐振荡电路时,当电源接头vcc通过电阻R1,R2向电容C充电,其上电压按指数规律上升,当u上升到2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出高电平,C放电终止,Vcc通过R1,R2又开始充电;周而复始,形成振荡。则其周期与放电时间有关,也就是与外接元件有关,不受电压电源变化影响。公式计算:T1=(R1+

7、R2)Cln2;T2=R2Cln2;振荡周期:T=T1+T2=0.7(R1+2R2)C=1(s)若取C=10uF,结合实际选取电阻为600Ω,200Ω。图3.1内部管脚图图3.2N555多谐振荡电路图17[键入文字]3.1.2置数电路置数电路利用74LS147优先编码器中优先级别高的信号排斥级别低的信号这一特点来置数,并将输出的编码输入到计数电路中,即完成置数功能。(a)(b)图3.374LS147管脚图本次实验的置数电路可以按图3.4接:17[键入文字]图3.43.1.3计数电路本次课程设计中选用74LS1

8、92来实现减法的计数功能。图3.5是74LS192的管脚图。(a)(b)图3.574LS192具有下述功能:异步清零:CLR=1,Q3Q2Q1Q0=0000.异步置数:CLR=0,PL=0,Q0Q1Q2Q3=D0D1D2D3.保持:CLR=0,~PL=1,UP=DOWN=1,Q0Q1Q2Q3保持原状态加计数:CLR=0,~PL=1,UP-=CP,DOWN=1,Q0Q1Q2Q3按加法规律计数17[键入

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。