E题-脉冲信号参数测量仪报告

E题-脉冲信号参数测量仪报告

ID:47854611

大小:373.00 KB

页数:8页

时间:2019-11-28

E题-脉冲信号参数测量仪报告_第1页
E题-脉冲信号参数测量仪报告_第2页
E题-脉冲信号参数测量仪报告_第3页
E题-脉冲信号参数测量仪报告_第4页
E题-脉冲信号参数测量仪报告_第5页
资源描述:

《E题-脉冲信号参数测量仪报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、脉冲信号参数测量仪摘要:本设计选用FPGA作为数据处理与系统控制的核心,采用FPGA与单片机相结合的方式制备出可测量脉冲信号频率、占空比、幅度、上升时间的测量仪以及标准脉冲信号发生器。本设计由以下功能模块构成:前端信号处理模块、峰值检波模块、窗口比较器模块、幅值升压模块等。利用FPGA的强大处理能力,完成数字信号处理,并将处理后的信号送至单片机进行显示,设计中综合运用了电容去耦、滤波以及同轴电缆等抗干扰措施,减少了电路干扰。在FPGA内有等精度测频模块、占空比测量模块和上升时间测量模块、标准脉冲产生模块等。显示与校准通过单片机完成。关键词:峰值检波  窗口比较

2、器 脉冲参数测试仪 标准脉冲信号发生器一、系统方案1.方案论证与比较方案一:图1所示为中规模电路脉冲信号测量仪。此方案采用中规模数字电路构成,主要由比较器、功能选择、量程选择、计数器和控制模块组成。该方案电路复杂,频带过窄,功能不强,实现起来比较困难。故不采用此方案。图1小规模数字电路原理框图方案二:图2所示为纯单片机方案,该方案以单片机为核心。门控信号由单片机内部计数定时器产生。该方案成本低,但受单片机本身限制,其时序控制能力弱,处理速度慢,无法达到本次设计要求。故不采用此方案。图2纯单片机方案原理框图方案三:图3所示为FPGA与单片机相结合的方案。8此方案

3、中,FPGA构成主要测量模块,输入信号经过前端处理电路,得到5V信号输入到FPGA中。单片机控制FPGA完成各种测量功能并显示测量数据。该方案外围元件相对较少,对高速信号处理速度快,精度高,且控制灵活、可靠性高。图3FPGA与单片机结合方案原理框图综上所述,本设计拟采用方案三。2.总体方案设计当进行频率测量时,脉冲信号进入前置分挡模块。当信号较大时衰减,当信号较小时放大。在放大模块中,高频信号通过高速放大器,低频信号通过精密放大器,使输入波形均为幅值适中的脉冲,直接进入FPGA进行计算测量。FPGA中,采用等精度测频方法进行测频和测占空比,利用基本上升时间测量

4、模式进行两个信号的上升时间测量。单片机完成数据读取及校准功能。测量幅值时经过峰值检测并保持电路,再经单片机AD采集测出。二、理论分析与计算1.频率测量方法本设计中的频率测量采用等精度测频法。该方法是将标准频率信号与待测信号输入到两个计数器进行同步计数。如图4所示,测量时单片机先预置闸门时间T,当闸门开启时,等待被测信号触发沿到来,计数器开始计数;预置闸门时间结束时,计数器并不立即停止而是等被测信号下一个同相位触发沿到来才关闭同步门并停止计数。可见实际闸门时间是被测信号周期的整数倍,即与被测信号同步。若被测信号与标准信号的计数值分别为Nx和N0,则被测频率为:f

5、x=Nx/N0×f0(1)若忽略标频f0的误差,则等精度测频可能产生的相对误差为:η=(

6、fxe-fx

7、/fxe)×100%(2)式(2)中fxe为被测信号频率的准确值。在测量过程中,由于fx计数的起止时间都是由该信号的上升测触发的,在闸门时间T内对fx的计数Nx无误差;对f0的计数Ns最多相差一个数的误差,即

8、Ns

9、≤1。则理论误差:η≤1/(T×f0)(3)由(3)式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标准信号频率有关,从而实现被测频带内的等精度测量。由于周期和频率互为倒数,因此可根据频率求出对应周期。该方法使测量精度大幅度

10、提高,测量原理框图如图4所示。8图4等精度测频法工作波形图2.占空比测量方法方案一:采用高速A/D进行采样,通过一定的算法得到上升时间、下降时间和占空比,该方案原理简单、但算法实现难度较高。 方案二:采用双路比较器电路,通过D/A输出脉冲幅度的50%测量占空比,实现简单、但硬件稳定度要求较高。方案一对算法要求较高,不利于短时间调试;方案二的实现简单,只要硬件稳定,即可满足全部要求。故采用方案二。3.幅度测量方法方案一:采用运放加有源滤波电路测量幅值,该方案电路较为复杂,不适合本设计中使用。方案二:采用峰值检波器进行幅值测量,该方案设计电路原理简单,在低频的幅频

11、特性响应比较好,故采用方案二。4.上升时间测量方法采用测量上升时间的类似方法便可以测出信号的脉宽和占空比。信号首先由模拟开关控制是否对信号进行放大衰减或者跟随,适当的信号进入峰值检波器后由A/D采出信号的幅度。后MCU输出信号幅度10%和90%两个点的参考电压,当信号幅值到达这两个点时,高速比较器做出响应,只要读出两个比较器组成的脉宽时间便可以算出时间沿长短。5.标准脉冲发生器产生方法根据脉冲波产生原理,在FPGA中设计脉冲发生器时,可提取出如下两个主要电路:定时器/计数器电路,输出比较电路。定时/计数器采用循环递减的计数方式,计数器循环从设定的计数初始值递减

12、到0,然后再回到计数初始值再次递减。这

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。