MaxplusⅡ基本操作方法

MaxplusⅡ基本操作方法

ID:47929486

大小:415.54 KB

页数:14页

时间:2019-11-05

MaxplusⅡ基本操作方法_第1页
MaxplusⅡ基本操作方法_第2页
MaxplusⅡ基本操作方法_第3页
MaxplusⅡ基本操作方法_第4页
MaxplusⅡ基本操作方法_第5页
资源描述:

《MaxplusⅡ基本操作方法》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、标准文案附录:MaxplusⅡ基本操作方法——图形输入法利用EDA工具进行原理图输入设计的优点是,设计者能利用原有的电路知识迅速入门,完成较大规模的电路系统设计,而不必具备许多诸如编程技术、硬件语言等新知识。MAX+plusII提供了功能强大,直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库,其中包含基本逻辑元件库(如与非门、反向器、D触发器等)、宏功能元件(包含了几乎所有74系列的器件),以及功能强大,性能良好的类似于IPCore的巨功能块LPM库。但更为重要的是,MAX+plusII还提供了原理图输入多层次设计功能,使得用户能设计更大规模的电路系统,以

2、及使用方便精度良好的时序仿真器。以传统的数字电路实验相比为例,MAX+plusII提供原理图输入设计功能具有显著的优势:·能进行任意层次的数字系统设计。传统的数字电路实验只能完成单一层次的设计,使得设计者无法了解和实现多层次的硬件数字系统设计;·对系统中的任一层次,或任一元件的功能能进行精确的时序仿真,精度达0.1ns,因此能发现一切对系统可能产生不良影响的竞争冒险现象;·通过时序仿真,能对迅速定位电路系统的错误所在,并随时纠正;·能对设计方案作随时更改,并储存入档设计过程中所有的电路和测试文件;·通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证。·如果使

3、用FPGA和配置编程方式,将不会有如何器件损坏和损耗;·符合现代电子设计技术规范。传统的数字电路实验利用手工连线的方法完成元件连接,容易对学习者产生误导,以为只要将元件间的引脚用引线按电路图连上即可,而不必顾及引线的长短、粗细、弯曲方式、可能产生的分布电感和电容效应以及电磁兼容性等等十分重要的问题。以下将以一位全加器的设计为例详细介绍原理图输入设计方法,但应该更多地关注设计流程,因为除了最初的图形编辑输入外,其它处理流程都与文本(如VHDL文件)输入设计完全一致。大全标准文案1位全加器可以用两个半加器及一个或门连接而成,因此需要首先一个半加器的设计。以下将给出使用原理图输入的方法进

4、行底层元件设计和层次化设计的完整步骤,其主要流程与数字系统设计的一般流程基本一致。事实上,除了最初的输入方法稍有不同外,应用VHDL的文本输入设计方法的流程也基本与此相同。步骤1:为本项设计建立文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被EDA软件默认为工作库(WorkLibrary)。一般不同的设计项目最好放在不同的文件夹中,注意,一个设计项目可以包含多个设计文件,例如数字频率计。图A3-1进入Max+plusII,建立一个新的设计文件图A3-2元件输入选择窗大全标准文案假设本项设计的文件夹取名为MY

5、_PRJCT,在E盘中,路径为:E:MY_PRJCT。文件夹不能用中文。步骤2:输入设计项目和存盘1、打开Mux+plusII,选菜单File®New(图A3-1),在弹出的FileType窗中选原理图编辑输入项GraphiceditorFile,按OK后将打开原理图编辑窗。2、在原理图编辑窗中的任何一个位置上点鼠标右键,将跳出一个选择窗,选择此窗中的输入元件项EnterSymbol,于是将跳出如图A3-2所示的输入元件选择窗。3、用鼠标双击文件库“SymbolLibraries”中的e:maxplu2max2libprim项,在SymbolFiles窗中即可看到基本逻辑元

6、件库prim中的所有元件,但也可以在SymbolName窗中用键盘直接输入所需元件名,在按OK键,即可将元件调入原理图编辑窗中。如为了设计半加器,分别调入元件and2、not、xnor、input和output(图A3-3)并连接好。然后用鼠标分别在input和output的PIN-NAME上双击使其变黑色,再用键盘分别输入各引脚名:a、b、co和so。4、点击选项File®“SaveAs”,选出刚才为自己的工程建立的目录E:MY_PRJCT,将已设计好的图文件取名为:h_adder.gdf(注意后缀是.gdf),并存在此目录内。图A3-3将所需元件全部调入原理图编辑窗注意,原理

7、图的文件名可以用设计者认为合适的任何英文名(VHDL文本存盘名有特殊要求),如adder.gdf(加法器)等。还应注意,为了将文件存入自己的E:MY_PRJCT目录中,必须在如图A3-4的Saveas窗中双击MY_PRJCT目录,使其打开,然后键入文件名,并按OK。大全标准文案图A3-4连接好原理图并存盘注意:原理图画好后,可以建立成一个默认的逻辑符号,Flie->creatdefaultsymbol,则可以将用户刚刚设计的电路形成一个模块符号h_adder。图A3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。