vhdl运算符重载overloa.ppt

vhdl运算符重载overloa.ppt

ID:48060683

大小:321.31 KB

页数:8页

时间:2019-05-06

vhdl运算符重载overloa.ppt_第1页
vhdl运算符重载overloa.ppt_第2页
vhdl运算符重载overloa.ppt_第3页
vhdl运算符重载overloa.ppt_第4页
vhdl运算符重载overloa.ppt_第5页
资源描述:

《vhdl运算符重载overloa.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、先来看一个例子:4位加法器语法检查结果:综合出的RTL级框图:相同的函数名“+”居然可以有不同的操作数?从包集合中看一看函数“+”到底是怎么回事关于“+”函数:Std_logic_unsigned包集合中的“+”函数:概念:运算符重载(重载函数)指两个或两个以上的函数具有相同的函数名,而操作数的数据类型有差别,足以区分实际想要的函数。★由编译器根据操作数的数据类型来判断用那一函数作用:运算符重载(重载函数)使得运算符(或函数)能对多种数据类型进行操作,扩展了VHDL的功能。好比是同名不同人,不同的人能做不同的事,用到谁时谁上包集合中定义了很多重载运算符(重载函数):

2、一元:asb,-运算:+,-,*,/,rem,mod关系:=,/=,=>,<,>=,<=逻辑:not,and,or,nand,nor,xor,xnor移位:shift_left,shift_right,rotate_left,rotate_right,sll,srl,rol,ror★同一函数名可能在不同的包集合中定义了不同的函数,具体用哪一函数就要将其所在的包集合在文件头声明。例如:运算符“+”在包集合IEEE.numeric_bit,IEEE.std_logic_unsigned,IEEE.std_logic_signed中都有定义。std_logic类型换为bi

3、t类型刚才的4位加法器:自定义重载运算符(重载函数):在包集合中定义重载函数:编译通过:声明自定义的包集合刚才的4位加法器:该函数将bit型转换为std_logic子类型总结:同名不同人,不同的人做不同的事,用到谁时谁上;我们是女娲,也能造自己需要的人。谢谢大家

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。