VHDL字符及数据类型.ppt

VHDL字符及数据类型.ppt

ID:48144223

大小:601.50 KB

页数:20页

时间:2020-01-17

VHDL字符及数据类型.ppt_第1页
VHDL字符及数据类型.ppt_第2页
VHDL字符及数据类型.ppt_第3页
VHDL字符及数据类型.ppt_第4页
VHDL字符及数据类型.ppt_第5页
资源描述:

《VHDL字符及数据类型.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第四章VHDL字符及数据类型14.1VHDL文字4.1.1数字整数:整数都是十进制的数,如:5,678,0,156E2(=15600),45_23.14_287(=4523.14287)实数文:实数也都是十进制的数,但必须带有小数点,如:1.3.13.15,88_670_551.453.1_909(=88670551.453.1909),1.0,44.99E-2(=0.4499)以数制基数表示的文字:用这种方式表示的数由五个部分组成。SIGNALd1,d2,d3,d4,d5,:INTEGERRANGE0TO

2、255;d1<=110#170#;--(十进制表示,等于170)d2<=16#FE#;--(十六进制表示,等于254)d3.1<=2#1111_1110#;--(二进制表示,等于254)d4<=8#376#;--(八进制表示,等于254)d5<=16#E#E1;--(十六进制表示,等于2#1110000#,等于224)物理量文字(VHDL综合器不接受此类文字)。如:60s(60秒),100m(100米),k(千欧姆),177A(177安培)4.1.2字符串(1)文字字符串(2)数位字符串"ERROR","B

3、othSandQequalto1","X","BB$CC"B:二进制基数符号,表示二进制位0或1,在字符串中的每位表示一个Bit。O:八进制基数符号,在字符串中的每一个数代表一个八进制数,即代表一个3.1位(BIT)的二进制数。X:十六进制基数符号(0~F),代表一个十六进制数,即一个4位的二进制数。data1<=B"1_1101_1110"--二进制数数组,位矢数组长度是9data2<=O"15"--八进制数数组,位矢数组长度是6data3.1<=X"AD0"--十六进制数数组,位矢数组长度是12data

4、4<=B"101_010_101_010"--二进制数数组,位矢数组长度是12data5<="101_010_101_010"--表达错误,缺B。data6<="0AD0"--表达错误,缺X。4.1.3标识符下标名格式:标识符(表达式)规则:l有效的字符:包括26个大小写英文字母,数字包括0~9以及下划线“_”l任何标识符必须以英文字母开头。l必须是单一下划线“_”,且其前后都必须有英文字母或数字。l标识符中的英语字母不分大小写。l允许包含图形符号(如回车符、换行符等),也允许包含空格符。下例的两个下标名中

5、一个是m,属不可计算,另一个是,属可计算的。SIGNALa,b:BIT_VECTOR(0TO3);SIGNALm:INTEGERRANGE0TO3;SIGNALy,z:BIT;y<=a(m);--不可计算型下标表示z<=b(3);--可计算型下标表示4.2数据类型标量型(ScalarType)复合类型(CompositeType)存取类型(AccessType)文件类型(FilesType)4.2.1VHDL的预定义数据类型1.布尔(BOOLEAN)数据类型2.位(BIT)数据类型3.位矢量(BIT_VEC

6、TOR)数据类型4.字符(CHARACTER)数据类型5.整数(INTEGER)数据类型6.实数(REAL)数据类型7.字符串(STRING)数据类型VARIABLEstring_var:STRING(1TO7);string_var:="abcd";8.时间(TIME)数据类型4.2.1VHDL的预定义数据类型4.2.3其他预定义标准数据类型1.无符号数据类型(UNSIGNEDTYPE)2.有符号数据类型(SIGNEDTYPE)4.2数据类型十进制的8可以作如下表示:UNSIGNED'("1000")两则

7、无符号数据定义的示例:VARIABLEvar:UNSIGNED(0TO10);SIGNALsig:UNSIGNED(5TO0);例如:SIGNED'("0101")代表+5,5SIGNED'("1011")代表–54.2.4数组类型4.2数据类型限定性数组限定性数组定义语句格式如下:TYPE数组名ISARRAY(数组范围)OF数据类型;非限定性数组非限制性数组的定义语句格式如下:TYPE数组名ISARRAY(数组下标名RANGE)OF数据类型;4.2.4数组类型【例4.1】LIBRARYIEEE;USE

8、IEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYdecoder3to8ISPORT(input:INSTD_LOGIC_VECTOR(2DOWNTO0);output:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDdecoder3to8;ARCHITECTUREbehaveOFdecoder3to8ISBEGINPRO

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。