Vivado下MIG核仿真指导手册.doc

Vivado下MIG核仿真指导手册.doc

ID:48368661

大小:100.50 KB

页数:6页

时间:2019-11-28

Vivado下MIG核仿真指导手册.doc_第1页
Vivado下MIG核仿真指导手册.doc_第2页
Vivado下MIG核仿真指导手册.doc_第3页
Vivado下MIG核仿真指导手册.doc_第4页
Vivado下MIG核仿真指导手册.doc_第5页
资源描述:

《Vivado下MIG核仿真指导手册.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、Vivado下MIG核仿真手册刘志强1.IP-Core生成根据所选芯片的不同,参照说明文档生成对应的MIG核:•VC709-VX690T,参照文件夹"MIG核配置-VC709"下的说明。VC709板卡上有两条型号为MT8KTF51264HZ-1G9的SODIMM条,授高工作频率932.84MHz,峰值带宽14.9GB/S,吋根据需求选择配置一个或两个controller,相关参数及意义在configuration.pdf中均有说明;.xdc文件为约束文件,在配置阶段需要加载该文件用于指定具体管脚位置;•EES256-VX485T,参照文件夹"MIG核

2、配3SEES256"下的说明。EES256板卡是定制板卡,有三条SODIMM条插槽,具体型号用户可白行选择,文件夹"ddr3-datasheetw下中列出了不同型号的内存条的具体参数omig7-lcontroller.xdc约束文件中包含单个controller的管脚配置信息;mig7-3controller.xdc约束文件中包含了三个controller的管脚配置信息。2.修改仿真文件生成后的MIG核包含以下儿个文件夹,其小"user_design/"文件夹包含了所有的设计文件,在仿真和综合过程中不做改动;"example_design/"文件夹包

3、含了所有的仿真文件,需要修改的文件包括:1)rtl/example_top.v:在该文件屮实例化要仿真的逻辑模块。原example_top.v实例化了traffic_gen模块,可用于验证MIG核是否正常匸作(仿真结束捉示Testpassedorfailed);验证Z后,可将traffic_gen模块替换为自己的逻辑进行后续的仿真;2)sim/ddr3_model.v:在ddr3_model模块屮定义了一个memory数组(数组宽度最人为64bits),用于模拟DDR3的访存行为。如果MIG核的数据接口为512bits,则需要实例化8个ddr3_mo

4、del模块来完成模拟。改动后的ddr3_model.v在"仿真文件改动"冃录下,主要修改的地方包括以下儿个方面:■模块实例化参数parameterinit_number=0(line86),用于指导不同的ddr3_model模块加载不同的初始化数据文件;■初始化数据文件和地址文件(line403-line416),后面会详细讨论ddr3的数据格式和访存地址;■初始化数据氏度memory_used(line400&line1904),将该变量赋值为初始化数据长度,如:初始化文件中包含1000行数据(与地址划分无关),则将该变量赋值为1000o3)sim

5、/ddr3_model_parameters.vh:ddr3_model.v的头文件,主要关注MEM_BITS参数,在ddr3_model.v中,该参数决定了memory数组的最大深度,MEM_SIZE=(1«MEM_BITS),因此要根据仿真过程屮可能用到的最人数组深度来调整该参数,避免出现数纟H•越界的情况;4)sim/sim_tb_top.v:在实例化ddr3_model模块部分,增加了实例化参数的赋值,参照"仿真文件改动"目录下的sim_tb_top_modified_part.v的内容作对应的修改即可。说明:example_top.v和si

6、m_tb_top.v屮,涉及到MIG核的所有配置参数,因此在源文件屮修改对应的部分;ddr3_model.v以及ddr3_model_parameters.vh可盲接覆盖原来的文件。docsJpar1example.designrtluse:design1sim1datasheet.txt1synthmig.prjlog.txt理PRJ文件图1MIG核目录(左)和example_design@录(右)1.ddr3_model.v初始化3.1数据组织方式1)单个ddr3_model.v的情况MIG核的数据访存位宽不超过64bits时,只会实例化一个dd

7、r3_model模块,从初始化数据文件中读取数据完成初始化,ddr3中的数据组织方式与初始化数据文件中的内容是一致的;2)多个ddr3_model.v的情况MIG核的数据访存位宽超过64bits时,会实例化多个ddr3_model模块。如访存位宽为512bits时,会实例化8个ddr3_model模块。需要注意的是,ddr3中的访存数据并不是多个初始化数据文件的简单拼接。一个简单的例了如下:(从0到31)ddr3访存数据:0x0000000100020003000400050006000700080009000a...001c001d001e001f

8、初始化文件应为:文件名ddr3model编号文件内容Mem7ddr3model70x000000000000

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。