单周期CPU实验报告.doc

单周期CPU实验报告.doc

ID:48956459

大小:1.29 MB

页数:28页

时间:2020-02-26

单周期CPU实验报告.doc_第1页
单周期CPU实验报告.doc_第2页
单周期CPU实验报告.doc_第3页
单周期CPU实验报告.doc_第4页
单周期CPU实验报告.doc_第5页
资源描述:

《单周期CPU实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、.MIPS-CPU设计实验报告word范文.实验名称:32位单周期MIPS-CPU设计姓名学号:刘高斯11072205实验日期:2014年12月19日目录前言MIPS简介-------------------------------------------------------------3实验目的-------------------------------------------------------------3第一部分VERILOGHDL语言实现部分实验内容--------------

2、-----------------------------------------------4试验环境word范文.-------------------------------------------------------------4模块简介-------------------------------------------------------------4实验截图------------------------------------------------------------

3、-5实验感想-------------------------------------------------------------5实验代码-------------------------------------------------------------6第二部分LOGISIM语言实现部分实验内容-------------------------------------------------------------16实验环境-----------------------------

4、--------------------------------16模块设计word范文.-------------------------------------------------------------16试验感想-------------------------------------------------------------23word范文.前言一、MIPS简介MIPS是世界上很流行的一种RISC处理器。MIPS的意思“无内部互锁流水级的微处理器”(Microprocessor

5、withoutinterlockedpipedstages),其机制是尽量利用软件办法避免流水线中的数据相关问题。它最早是在80年代初期由斯坦福(Stanford)大学Hennessy教授领导的研究小组研制出来的。MIPS公司的R系列就是在此基础上开发的RISC工业产品的微处理器。这些系列产品为很多计算机公司采用构成各种工作站和计算机系统。MIPS技术公司是美国著名的芯片设计公司,它采用精简指令系统计算结构(RISC)来设计芯片。和英特尔采用的复杂指令系统计算结构(CISC)相比,RISC具有设计

6、更简单、设计周期更短等优点,并可以应用更多先进的技术,开发更快的下一代处理器。MIPS是出现最早的商业RISC架构芯片之一,新的架构集成了所有原来MIPS指令集,并增加了许多更强大的功能。MIPS处理器是八十年代中期RISCCPU设计的一大热点。MIPS是卖的最好的RISCCPU,可以从任何地方,如Sony,Nintendo的游戏机,Cisco的路由器和SGI超级计算机,看见MIPS产品在销售。目前随着RISC体系结构遭到x86芯片的竞争,MIPS有可能是起初RISCCPU设计中唯一的一个在本世纪

7、盈利的。和英特尔相比,MIPS的授权费用比较低,也就为除英特尔外的大多数芯片厂商所采用。MIPS的系统结构及设计理念比较先进,其指令系统经过通用处理器指令体系MIPSI、MIPSII、MIPSIII、MIPSIV到MIPSV,嵌入式指令体系MIPS16、MIPS32到MIPS64的发展已经十分成熟。在设计理念上MIPS强调软硬件协同提高性能,同时简化硬件设计。二、实验目的我们通过VERILOGHDL语言和LOGISIM语言分别用语句和图形完成对32位MIPS-CPU的设计,通过前8周的学习我们基本

8、掌握了MIPS的概念和原理,认识到RISC架构的特征和优缺点。通过过老师的讲解,了解到每一条语句的从设计到硬件实现的全过程。通过本次实验我们要更加深入的理解硬件组成的结构,语句逻辑实现的原理。掌握硬件编程语言和硬件图形语言编程的方法。透彻的理解MIPS的特征和优点。word范文.VERILOGHDL语言实现部分实验内容利用VERILOG设计一种32位单周期MIPSCPU。首先设计各个子模块,并将已做好的各个模块进行模块合并,实现单周期CPU的各项指令。实验环境WindowXpQua

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。