数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt

数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt

ID:50321809

大小:1.12 MB

页数:39页

时间:2020-03-08

数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt_第1页
数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt_第2页
数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt_第3页
数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt_第4页
数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt_第5页
资源描述:

《数字电路EDA设计与应用 教学课件 作者 聂小燕 鲁才 第4章 QuartusⅡ使用指南.ppt》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、第4章QuartusⅡ使用指南4.1QuartusII软件简介4.2建立工程4.3设计输入4.4器件与引脚分配4.5项目编译4.6使用QuartusII仿真器4.7器件编程14.1QuartusII软件简介24.1.1QuartusII概述QuartusⅡ提供了方便的设计输入方式、快速的编译和直接易懂的器件编程。能够支持逻辑门数在百万门以上的逻辑器件的开发,并且为第三方工具提供了无缝接口。QuartusⅡ提供了全面的逻辑设计能力,包括电路图、文本和波形的设计输入以及编译、逻辑综合、仿真和定时分析以及器件编程等诸多功能。特别是在原理图

2、输入等方面,QuartusⅡ被公认为是最易使用、人机界面最友好的PLD开发软件。34.1.2QuartusⅡ用户界面44.2建立工程54.2.1创建工程利用创建工程向导(NewProjectWizard)创建新的工程6(1)单击【Next】按钮,在弹出的对话框上指定工程工作目录、工程名称以及顶层设计实体的名称。(2)单击【Next】按钮,在弹出的【AddFiles】对话框上可以为工程添加设计文件。通过单击浏览按钮,选择要加入的设计文件,然后单击【Add】按钮即可将文件加入。(3)为了选择EPM7128SLC84-10目标器件,在对话

3、框上的【Family】下拉列表中选择器件系列MAX7000S。单击【Next】按钮完成器件选择。7(4)单击【Next】按钮进入下一步,可以设置第三方EDA工具。(5)单击【Next】按钮进入【Summary】对话框,该对话框给出了所创建工程的详细信息。确认无误后,单击【Finish】按钮完成工程创建。84.2.2工程管理9在【General】分类中可以为工程指定和查看当前顶层实体。在【Files】分类可以从工程中添加和删除设计文件以及其他文件。在执行分析和综合过程期间,QuartusII软件将按文件在Files页面中显示的顺序来处

4、理文件。【Libraries】分类用于指定自定义的用户库;【Device】分类用于指定封装、引脚数量和速度等级,指定目标器件。10工程创建成功后,在【ProjectNavigator】(资源管理窗口)显示当前工程的层次、文件和设计单元。114.2.3转换MAX+PLUSII工程124.3设计输入1314使用任何标准EDIF网表编写程序生成的EDIF200版网表文件。.edf.edifEDIF输入文件以硬件描述语言(HDL)编写的设计文件。.tdf.v.vhd文本设计文件使用MAX+PLUSII图形编辑器建立的原理图设计文件。.gdf

5、图形设计文件使用QuartusII模块编辑器建立的原理图设计文件。.bdf模块设计文件说明扩展名类型154.3.1使用文本编辑器输入164.3.2使用模块编辑器输入1.常用基本单元输入172.图表模块输入184.3.3使用宏功能模块输入表3Altera提供的宏功能和LPM功能包括存储器、移位寄存器宏功能和LPM存储器功能。存储组件包括FIFO划分器、RAM和ROM宏功能存储器编译器包括时钟数据恢复(CDR)、锁相环(PLL)、双数据速率(DDR)、千兆收发器块(GXB)、LVDS接收器和发送器、PLL重新配置和远程更新宏功能模块。I

6、/O组件包括多路复用器和LPM门功能。逻辑门包括累加器、加法器、乘法器和LPM算术功能。算术组件说明类型19204.3.4使用自定义符号输入21224.4器件与引脚分配234.4.1设置目标器件244.4.2引脚分配25264.5项目编译274.5.1全编译与分步编译全编译的过程包括分析与综合(Analysis&Synthesis)、适配(Fitter)、编程(Assembler)、时序分析(ClassicalTimingAnalysis)这4个环节,而这4个环节各自对应相应的菜单命令,可以单独分步执行,也就是分步编译。1、分析与综

7、合(Analysis&Synthesis):对设计文件进行分析和检查输入文件是否有错误。对应的菜单命令是QuartusⅡ主窗口【Processing】菜单下【StartStartAnalysis&Synthesis】,对应的快捷图标是在主窗口的工具栏上的按钮。282、适配(Fitter):在适配过程中,完成设计逻辑器件中的布局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,对应的菜单命令是QuartusⅡ主窗口【Processing】菜单下【StartStartFitter】。3、编程(Assembler):产生多种形式

8、的器件编程映像文件,通过软件下载到目标器件当中去,对应的菜单命令是QuartusⅡ主窗口【Processing】菜单下【StartStartAssembler】。4、时序分析(ClassicalTimingAnalyzer):计算给

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。