xilinx ISE时序约束笔记-中文.pdf

xilinx ISE时序约束笔记-中文.pdf

ID:50478976

大小:308.36 KB

页数:19页

时间:2020-03-06

xilinx ISE时序约束笔记-中文.pdf_第1页
xilinx ISE时序约束笔记-中文.pdf_第2页
xilinx ISE时序约束笔记-中文.pdf_第3页
xilinx ISE时序约束笔记-中文.pdf_第4页
xilinx ISE时序约束笔记-中文.pdf_第5页
资源描述:

《xilinx ISE时序约束笔记-中文.pdf》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、时序约束的两个步骤:1.路径终点生产groups(顾名思义就是进行分组)2.指点不同groups之间的时序要求全局约束使用默认的路径终点groups——即所有的触发器、I/Opads等ISE时序约束笔记2——GlobalTimingConstraints问题思考单一的全局约束可以覆盖多延时路径如果箭头是待约束路径,那么什么是路径终点呢?所有的寄存器是否有一些共同点呢?问题解答什么是路径终点呢?——FLOP1,FLOP2,FLOP3,FLOP4,FLOP5。所有的寄存器是否有一些共同点呢?——它们共享一个时钟信号,约束

2、这个网络的时序可以同时覆盖约束这些相关寄存器间的延时路径。周期约束周期约束覆盖由参考网络钟控的的同步单元之间的路径延时。周期约束不覆盖的路径有:inputpads到outputpads之间的路径(纯组合逻辑路径),inputpads到同步单元之间的路径,同步单元到outputpads之间的路径。周期约束特性周期约束使用最准确的时序信息,使其能够自动的计算:1.源寄存器和目的寄存器之间的时钟偏斜(ClockSkew)2.负沿钟控的同步单元3.不等同占空比的时钟4.时钟的输入抖动(jitter)假设:1.CLK信号占空比

3、为50%2.周期约束为10ns3.由于FF2将在CLK的下降沿触发,两个触发器之间的路径实际上将被约束为10ns的50%即5ns时钟输入抖动(ClockInputJitter)时钟输入抖动是源时钟的不确定性(clockuncertainty)之一时钟的不确定时间必须从以下路径扣除:——周期约束建立时间路径——OFFSETIN约束的建立时间路径时钟的不确定时间必须添加到以下路径中:——周期约束保持时间路径——OFFSETIN约束保持时间路径——OFFSETOUT约束路径Pad-to-Pad约束——不包含任何同步单元的纯

4、组合逻辑电路——纯组合逻辑延时路径开始并结束于I/Opads,所以通常会被我们遗漏而未约束ISE时序约束笔记3——GlobalTimingConstraints问题思考哪些路径是由CLK1进行周期约束?哪些路径是由pad-to-pad进行约束?OFFSET约束OFFSET约束覆盖以下路径:——从inputpads到同步单元(OFFSETIN)——从同步单元到outputpads(OFFSETOUT)OFFSET约束特性OFFSET约束自动计算时钟分布延时1.提供最准确的时序信息2.大量增加输入信号到达同步单元的时间(

5、时钟和数据路径并行)3.大量减少输出信号到达输出管脚的时间(时钟和数据路径先后)OFFSET约束也可以解释时钟输入抖动——使用抖动确定关联的周期约束时钟延时数据路径延时和时钟分布延时都需要在OFFSET计算中使用到——OFFSETIN=T_data_in–T_clk_in——OFFSETOUT=T_data_out+T_clk_outISE时序约束笔记4——GlobalTimingConstraints问题思考在这个电路中哪些路径是由OFFSETIN和OFFSETOUT来约束的?问题解答:——OFFSETIN:PAD

6、AtoFLOPandPADBtoRAM——OFFSETOUT:LATCHtoOUT1,LATCHtoOUT2,andRAMtoOUT1问题思考下面给出的系统框图里,你将给出什么样的约束值以使系统能够跑到100MHz?——假设在下面的器件之间没有时钟偏斜问题解答:PERIOD=10ns,OFFSETIN(BEFORE)=7nsandOFFSETOUT(AFTER)=8ns小结1.性能期望和时序约束相关联2.周期约束覆盖同步单元之间的延时路径3.OFFSET约束覆盖从输入管脚到同步单元和从同步单元到输出管脚之间的延时路径

7、ISE时序约束笔记5——TimingGroupsandOFFSETConstraints特定路径时序约束使用全局时序约束(PERIOD,OFFSET,PAD-TO-PDA)将约束整个设计仅仅使用全局约束通常会导致过约束——约束过紧——编译时间延长并且可能阻止实现时序目标——通过综合工具或者映射后时序报告重新审视性能评估特定路径约束能够覆盖全局时序约束在特定路径上的约束——这就允许设计者放宽特定路径的时序要求更多关于特定路径约束你的设计器件的内部面积将会从特定路径约束收益1.多周期路径Multi-cyclepaths2

8、.跨时钟域路径3.双向总线4.I/O时序特定路径约束应该由你的性能目标来界定,不能够不加限制的随意放置全局约束回顾使用全局PERIOD,OFFSETIN和OFFSETOUT约束将约束所有以下的路径这使得控制设计的总体性能更加容易特定路径约束实例一条特定路径约束对于路径本身的优化微乎其微这有助于你更好的控制设计性能,并带给执行工具更大的灵活性以达

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。