VHDL设计十进制计数器.doc

VHDL设计十进制计数器.doc

ID:50812808

大小:41.50 KB

页数:2页

时间:2020-03-14

VHDL设计十进制计数器.doc_第1页
VHDL设计十进制计数器.doc_第2页
资源描述:

《VHDL设计十进制计数器.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA课程设计——清零置数十进制计数器程序清单:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycdu10isport(clk,en,load,reset:instd_logic;d:instd_logic_vector(3downto0);cout:outstd_logic;q:outstd_logic_vector(3downto0));endcdu10;architectureexx1ofcdu10issignalqq:std_logic_v

2、ector(3downto0);beginp0:process(clk,en,load,reset)beginif(reset='0')thenqq<="0000";elsif(clk'eventandclk='1')thenifload='0'thenqq<=d;elsif(en='1')thenifqq="1001"thenqq<="0000";elseqq<=qq+'1';endif;endif;endif;endprocessp0;q<=qq;p1:process(qq)beginifqq="1001"thencout<='1';elseco

3、ut<='0';endif;endprocessp1;endexx1;仿真波形:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。