同步D触发器和异步D触发器.doc

同步D触发器和异步D触发器.doc

ID:51179399

大小:18.00 KB

页数:2页

时间:2020-03-19

同步D触发器和异步D触发器.doc_第1页
同步D触发器和异步D触发器.doc_第2页
资源描述:

《同步D触发器和异步D触发器.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、同步和异步实验时间:寒假第三周实验地点:老校区16楼实验室实验学生:刘欢实验原理:1.同步时序电路:同步时序电路是指各触发器的时钟端全部连接在一起,并接系统时钟端;只有当时钟脉冲到来时,电路的状态才能改变;改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化;状态表中的每个状态都是稳定的.2.异步时序电路:异步时序电路是指电路中除以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件;电路中没有统一的时钟;电路状态的改变由外部输入的变化直接引起.可将异步时序逻辑电路分为脉冲异步时序电路和电平异步时序电路.实验内容:在这里我用D触发器

2、来很明显的体现出同步和异步的区别。先用verilog描述一个异步的D触发器,即就是当有时钟clk、reset、set、信号时该处发起都会随时发出响应。然后描述一个同步的D触发器,当有时钟脉冲时才会做出响应,而reset和set发生时只会等时终发生变化才会做出响应。然后在测试用例中使用相同的信号,观察两个触发器的区别。异步D触发器:moduleD_AT(outputregq,inputd,inputclk,inputreset,inputset);//AsyncPostBackTrigger触发器always@(posedgeclkornegedgeresetornege

3、dgeset)//带有置位和复位的触发器beginif(!reset)//低电平有效q<=0;elseif(!set)q<=1;elseq<=d;endEndmodule同步的D触发器:moduleD_ST(outputregq,inputd,inputclk,inputreset,inputset);//synchronizertriggeralways@(posedgeclk)beginif(reset==1)//高电平有效q<=0;elseif(set==1)q<=1;elseq<=d;endEndmodule波形图:对上图进行分析:q1为同步触发器输出信号,q2

4、为异步触发器输出信号。因为同步是以高电平有效的,所以在set由低变高时,会发生置位,又因为是同步触发器,所以要等到CLK上升沿时才会变化,在图中很显然能表现出来;而异步触发器是低电平有效,所有当RESET由高变低时,会发生复位,又因为它是异步触发器,所以只要有复位信号就就会复位,不用管CLK是否有沿变化,图中很显然能够看出来。注意:在设计电路的时候尽可能使用同步设计。因为异步设计问题常常会由于电压、温度或工艺制程的微妙变化而间歇性的表现出来。同步设计是一种正规的设计方法,他可以保证设计能够在要求的速度范围内正常工作,只要时序满足一定的范围延迟即使不能完全控制,也是相对可

5、控的。同步设计不仅比异步设计更加可靠,而且大多数EDA工具现在也假定设计是同步的。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。