基于FPGA的高速串行AD转换器控制设计与实验研究.pdf

基于FPGA的高速串行AD转换器控制设计与实验研究.pdf

ID:51447108

大小:326.76 KB

页数:5页

时间:2020-03-24

基于FPGA的高速串行AD转换器控制设计与实验研究.pdf_第1页
基于FPGA的高速串行AD转换器控制设计与实验研究.pdf_第2页
基于FPGA的高速串行AD转换器控制设计与实验研究.pdf_第3页
基于FPGA的高速串行AD转换器控制设计与实验研究.pdf_第4页
基于FPGA的高速串行AD转换器控制设计与实验研究.pdf_第5页
资源描述:

《基于FPGA的高速串行AD转换器控制设计与实验研究.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、西安理工大学学报JournalofXi’anUniversityofTechnology(2O14)Vo1.30No.191文章编号:1006—4710(2014)01—0091—05基于FPGA的高速串行AD转换器控制设计与实验研究杨大伟,杨秀芳,李大伟。,韩俊锋,陈剑虹(1.西安理工大学机械与精密仪器工程学院,陕西西安710048;2.中国科学院西安光学精密机械研究所,陕西西安710119)摘要:在对靶场光电仪器进行外场数据分析时,需要对仪器接收到的多种数据信号进行采集,为提高采集过程中数字控制系统A/D转换效率,并简化数据采集系统硬件,设计了基于FPGA控制AD7890芯片A/D转换

2、和转换数据串行输出方案。介绍了串行多通道A/D转换器AD7890的工作原理,给出了在Xilinx公司的ISE10.1软件开发平台下程序设计和仿真图,并进行了基于FP—GA器件virtex一4处理器硬件开发平台下的实验。实验表明,FPGA的控制是成功的,AD7890输出的二进制值010000000000和001001100111与加入到输入端的模拟电压5V和3V完全一致,充分发挥了12位AD7890的高精度特点。关键词:串行多通道A/D转换;FPGA;数据采集系统;高精度;AD7890中图分类号:TP335.1文献标志码:AControldesignandexperimentalresear

3、chofhigh—speedserialADconverterbasedonFPGAYANGDawei,YANGXiufang,LIDawei,HANJunfeng,CHENJianhong(1.FacultyofMechanicalandPrecisionInstrumentEngineering,Xi’anUniversityofTechnology,Xi’an710048,China;2.Xi’anInstituteofOpticsandPrecisionMechanics,ChineseAcademyofSciences,Xi’an710119,China)Abstract:Inc

4、arryingouttheanalysisofexternalfielddatabyusingaphotoelectricinstrumentmeasuringrange,itisnecessarytocollectsignalsofvariouskindsfromthephotoelectricinstru~ment.InordertoimprovecontrolsystemA/Dconversionefficiencyinthedigitalacquisition,andsimplifythedataacquisitionsystemhardware.aschemeisdesigned

5、onthebasisofFPGAtocon~trolAD7890chipA/Dconversionandconversiondataserialoutputsolution.Theserialmulti~channelA/DconverterAD7890workingprincipleisintroduced.ThispapergivesprogramdesignandsimulationfigureinXilinx’SISE10.1softwaredevelopmentplatformandtheexperimentiscarriedoutbasedontheVirtex~4FPGAde

6、vicesprocessorhardwaredevelopmentplatform.Ex~perimentsshowthattheFPGAcontrolissuccessfu1.TheoutputbinaryvaluesofAD7890and010000000000and00100110011larecompletelyconsistentwiththeanalogvoltageinputof5Vand3Vaddedtotheinputend,wherebygivingfullplaythecharacteristicsofthe12bitAD7890highprecision.Keywo

7、rds:serialmulti—channelA/Dconvertor;FPGA;analog—digitalacquisitionsystem;highprecision;AD7890随着工业技术的进步,现代数字控制系统对执行效率和集成化程度的要求越来越高。特别是在收稿日期:2013-l1—15基金项目:陕西省自然科学基础研究计划资助项目(2012JM8006)。作者简介:杨大伟,男,硕士生,研究方向为现代检测系统与仪

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。