FSK调制解调系统地设计内容与实现.ppt

FSK调制解调系统地设计内容与实现.ppt

ID:51661690

大小:3.37 MB

页数:22页

时间:2020-03-28

FSK调制解调系统地设计内容与实现.ppt_第1页
FSK调制解调系统地设计内容与实现.ppt_第2页
FSK调制解调系统地设计内容与实现.ppt_第3页
FSK调制解调系统地设计内容与实现.ppt_第4页
FSK调制解调系统地设计内容与实现.ppt_第5页
资源描述:

《FSK调制解调系统地设计内容与实现.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、FSK调制解调系统的设计与实现设计学生:姚冬冬指导老师:段惠敏目录part1前言part22FSK调制解调的原理part32FSK调制解调系统的设计part4系统仿真实现part5硬件电路验证part6结束语part1前言数字频率调制FSK(FrequencyShiftKeying)又叫移频键控,是利用载频频率变化来传递数字信息,具有抗干扰能力强、传输距离远等优点,在中低速数据传输通信系统中得到了较为广泛的应用。本文基于FPGA芯片,采用VHDL语言,利用层次化、模块化设计方法,提出了一种2FSK调制解调器的实现方法。FSK,用所传送的数字消息控

2、制载波的频率。如图1所示,2FSK信号即为符号“1”对应于载频f1,符号“0”对应于载频f2。part22FSK调制解调原理图12FSK信号的产生及波形示例part32FSK调制解调系统的设计1、系统的整体设计2、调制解调模块的设计Ⅰ.调制模块设计Ⅱ.解调模块设计1、系统的整体设计时钟源分频器FSK调制输出基带信号方波FSK解调已调信号解调信号图22FSK信号调制解调系统整体框图2、调制解调模块的设计Ⅰ.调制模块设计clk分频器1start分频器2载波f1载波f2二选一选通开关已调信号基带信号图32FSK调制模块的原理框图Ⅱ.解调模块设计clks

3、tart调制信号分频计数器q寄存器xx计数器m判决基带信号图42FSK解调模块的原理框图part4调制解调系统仿真实现1、调制模块的仿真实现2、解调模块的仿真实现1、调制模块的仿真实现图5调制模块波形仿真结果仿真结果分析输入的基带信号x(2KHz)为“0101010”,y为已调信号。从start=“1”时,开始调制。x为“1”时,则应输出f2=32KHz对应的波形;x为“0”时,则应输出f1=16KHz所对应的波形。2、解调模块的仿真实现图6解调模块波形仿真结果输入已调信号x为“0101010”,与调制相同;y为解调信号。从start=“1”时,

4、开始调制。xx和x波形相同,说明寄存器无误。出来的y解调的结果为“101010”,但有部分时延。为了使调制解调系统产生的结果在实验箱上得到验证,为此加上7段数码管显示的程序模块,以显示出解调信号的“1”、“0”序列。对应电路模式6。part5硬件验证图7系统总体实现的原理图链接图调制解调系统的仿真实现图8总体实现的波形仿真结果由图8可以看出,输入基带信号x为“0101010”,start为调制控制信号,start2为解调控制信号。从start=“1”、start2=“1”时,当x为“1”时,输出的序列是“0000110”(数码管显示为1);当x为

5、“0”时,输出的序列是“0111111”(数码管显示为0)。图9实验箱上键3和键4亮,键5为”0”上方显示0的界面图10实验箱上键3和键4亮,键5为”1”上方显示1的界面part6结束语在这次的毕业设计中,我碰到了很多问题,但同时也学到了许多不同的解决方法,获得了许多宝贵的体会和经验。利用硬件描述高级语言VHDL完成了相对应单元电路的设计,并将各个部分连接到一起构成整个调制解调系统来进行波形仿真和调试,最后最终所出的仿真波形与所应该得到的波形一致,且最终下载到实验开发板上进行了硬件验证,和预测的结果一致。致谢词在这里我要谢谢我的指导老师段惠敏,正

6、是在段老师的指导下我从刚开始的理解混乱、概念不清到完成毕业设计,最终到完善毕业论文,这其中段老师真的帮助了我很多,在这里对段老师由衷地说声谢谢!谢谢各位老师!

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。