数字频率计的介绍外文翻译.doc

数字频率计的介绍外文翻译.doc

ID:51855149

大小:57.00 KB

页数:11页

时间:2020-03-17

数字频率计的介绍外文翻译.doc_第1页
数字频率计的介绍外文翻译.doc_第2页
数字频率计的介绍外文翻译.doc_第3页
数字频率计的介绍外文翻译.doc_第4页
数字频率计的介绍外文翻译.doc_第5页
资源描述:

《数字频率计的介绍外文翻译.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、译文:数字频率计的介绍译自文斯凯赫尔著的VHDL逻辑设计76-88页数字频率计是通信设备、音、视频等科研生产领域不可缺少的测量仪器。采用VerilogHDL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分外,其余全部在一片FPGA芯片上实现。整个系统非常精简,且具有灵活的现场可更改性。1等精度测频原理频率的测量方法主要分为2种方法:(1)直接测量法,即在一定的闸门时间内测量被测信号的脉冲个数。(2)间接测量法,例如周期测频法、VF转换法等。间接测频法仅适用测量低频信号。基于传统测频原理的频率计的测量精度将随被测信号频率的下降而降低,在实

2、用中有较大的局限性,而等精度频率计不但具有较高的测量精度,而且在整个频率区域能保持恒定的测试精度。频率测量方法的主要测量预置门控信号GATE是由单片机发出,GATE的时间宽度对测频精度影响较少,可以在较大的范围内选择,只要FPGA中32b计数器在计100M信号不溢出都行,根据理论计算GATE的时间宽度Tc可以大于42.94s,但是由于单片机的数据处理能力限制,实际的时间宽度较少,一般可在10~0.1s间选择,即在高频段时,闸门时间较短;低频时闸门时间较长。这样闸门时间宽度Tc依据被测频率的大小自动调整测频,从而实现量程的自动转换,扩大了测频的量程范围;实现了全

3、范围等精度测量,减少了低频测量的误差。本设计频率测量方法的主要测量控制框图如图1所示。图1中预置门控信号GATE是由单片机发出,GATE的时间宽度对测频精度影响较少,可以在较大的范围内选择,只要FPGA中32b计数器在计100M信号不溢出都行,根据理论计算GATE的时间宽度Tc可以大于42194s,但是由于单片机的数据处理能力限制,实际的时间宽度较少,一般可在10~011s间选择,即在高频段时,闸门时间较短;低频时闸门时间较长。这样闸门时间宽度Tc依据被测频率的大小自动调整测频,从而实现量程的自动转换,扩大了测频的量程范围;实现了全范围等精度测量,减少了低频测

4、量的误差。2频率计的实现等精度测频的实现方法。可简化为CNT1和CNT2是两个可控计数器,标准频率(f)信号从CNF1的时钟输入端cIK输入,经整形后的被测信号(f)从CNT2的时钟输入端cIK输入。每个计数器中的CEN输入端为使能端,用来控制计数器计数。当预置闸门信号为高电平(预置时间开始)时。被测信号的上升沿通过D触发器的输入端,同时启动两个汁数器计数;同样,当预置闸门信号为低电平(预置时间结束)时,被测信号的上升沿通过D触发器的输出端,使计数器停止计数。3频率计的位数及相关指标位数:同时最多能显示的数字位数。平常计数式的8位频率计只有几百元就可买到。对于

5、高精度的测量,9位刚刚开始,11位算中等,13位才能算比较高级。溢出位:把溢出位算进去的总等效位。有些频率计带有溢出功能,即把最高位溢出不显示而只显示后面的位,以便达到提高位数的目的。这里个别指标是估计值。速度:即每秒能出多少位。有了高位数的但测量特别慢也失去了意义。平常计数式的8位频率计,测量10MHz信号、1秒闸门能得到10,000,000Hz,这实际上才是7位(位数等于取常用对数后的值),要想得到8位,需要10秒闸门;要想得到9位,需要100秒闸门,依次类推,即便显示允许,11位需要10000秒的测量时间了。但无论如何,还是每秒7位。因此,要想快速得到高

6、位数则必须高速度。分辨:这就像一个电压表最小可以分辨出多大的电压的指标是类似的,越小越好,单位ps(皮秒)。1000ps=1ns。假设你用1ns的频率计要分辨出1e-12的误差,就需要1ns/1e-12=1000秒的时间。而假设你有另外一个频率计的分辨是100ps,那么测量时间就可以缩短10倍为100秒,或者可以在相同的1000秒下测量出1e-14的误差。4时间频率测量相比传统的电路系统设计方法,EDA技术采用VHDL语言描述电路系统,包括电路的结构、行为方式、逻辑功能及接口。VerilogHDL具有多层次描述系统硬件功能的能力,支持自顶向下的设计特点。设计者

7、可不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用Ver-ilogHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的FPGA器件中去,从而实现FPGA的设计。时间频率测量是电子测量的重要领域。频率和时间的测量已越来越受到重视,长度、电压等参数也可以转化为与频率测量有关的技术来确定。本文通过对传统的多周期同步法进行探讨,提出了多周期同步法与量化时延法相结合的测频方法。最简单的测量频率的方法是直接测频法。直接测频法就是在给定的闸门信号中填入脉

8、冲,通过必要的计数电路,得到填充脉冲的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。