VHDL主要描述语句――CASE语句.ppt

VHDL主要描述语句――CASE语句.ppt

ID:52063764

大小:368.84 KB

页数:8页

时间:2020-03-31

VHDL主要描述语句――CASE语句.ppt_第1页
VHDL主要描述语句――CASE语句.ppt_第2页
VHDL主要描述语句――CASE语句.ppt_第3页
VHDL主要描述语句――CASE语句.ppt_第4页
VHDL主要描述语句――CASE语句.ppt_第5页
资源描述:

《VHDL主要描述语句――CASE语句.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL主要描述语句――CASE语句一、复习1.IF语句的三种书写格式:IF条件THEN顺序处理语句;ENDIF;IF条件THEN顺序处理语句1;ELSE顺序处理语句2;ENDIF;IF条件1THEN顺序处理语句1;ELSIF条件2THEN顺序处理语句2;……ELSIF条件NTHEN顺序处理语句N;ELSE顺序处理语句0;ENDIF;2.试修改如下程序中出现的错误并分析其可以实现的功能.LIBRARYIEEE;USEIEEE.STD-LOGIC-1164.ALL;ENTITY2ANDISPORT(A,B:INSTD-LOGIC;C:OUTSTD-LOGIC);END2AND;ARCHITE

2、CTUREAOF2ANDISSIGNALD:STD-LOGIC-VECTOR(2DOWNTO0);D<=A&B;PROCESS(D)IFD=“00”THENC<=“0”ELSEIFD=“01”THENC<=“0”;ELSEIFD=“10”THENC<=“0”;ELSEC<=“1”;ENDPROCESS;ENDA;;ELSIFENDIF;ABC000110110001该程序可以实现二输入与门的功能二、新授在用VHDL语言进行逻辑设计时,有些操作是根据某表达式的值来进行的,这时常常会用到CASE语句.CASE条件表达式WHEN条件表达式的值1=>顺序处理语句1;WHEN条件表达式的值2=>顺序

3、处理语句2;......WHEN条件表达式的值N=>顺序处理语句N;WHENOTHERS=>顺序处理语句0;ENDCASE;当CASE和IS之间的表达式的取值满足指定的条件表达式时,程序将执行对应的由=>所指的顺序处理语句.三、例题(试分析如下程序可以实现什么功能)LIBRARYIEEE;USEIEEE.STD-LOGIC-1164.ALL;ENTITY2ANDISPORT(A,B:INSTD-LOGIC;C:OUTSTD-LOGIC);END2AND;ARCHITECTUREAOF2ANDISSIGNALD:STD-LOGIC-VECTOR(2DOWNTO0);D<=A&B;PROCES

4、S(D)CASEDISWHEN“00”=>C<=“0”;WHEN“01”=>C<=“0”;WHEN“10”=>C<=“0”;WHEN“11”=>C<=“0”;WHENOTHER=>NULL;ENDCASE;ENDA;NULL表示无任何赋值操作ABC000110110001该程序可以实现二输入与门的功能.对比总结:以上两个程序表明,一些功能相同的描述,可以用IF语句实现,也可以用CASE语句实现.但是两者还是有区别的.首先,在IF语句中,先处理最起始的条件,如果不满足才会处理下一个条件.而在CASE语句中,没有值的顺序号,所有的值是并行处理的.因此WHEN项中已用过的值,如果在后面WHEN项

5、中再次使用,那在语法上错误的.也就是说,表达式的值不能重复使用.如下列程序:CASESELISWHEN0=>Q<=I1;WHEN1TO3=>Q<=I1;WHEN2TO5=>Q<=I2;WHEN5TO7=>Q<=I3;WHENOTHER=>Q<=I4;ENDCASE;四、练习(用CASE语句设计一个四选一数据选择器)什么是数据选择器,它什么功能?数据选择器是经过选择,把多个通道的数据传送到唯一的公共数据通道上进行传输。它的作用相当于一个多输入的单刀多掷开关。四选一数据选择器QI0I1I2I3公共通道(同一时间内只可允许一个信号通过)信号传输目的地信号选择控制端ABLIBRARYIEEE;US

6、EIEEE.STD-LOGIC-1164.ALL;ENTITY4SELISPORT(I0,I1,I2,I3:INSTD-LOGIC;A,B:INSTD-LOGIC;Q:OUTSTD-LOGIC);END4SEL;ARCHITECTUREAOF4SELISSIGNALD:STD-LOGIC-VECTOR(2DOWNTO0);D<=A&B;PROCESS(D)ENDA;CASEDISWHEN“00”=>Q<=I0;WHEN“01”=>Q<=I1;WHEN“10”=>Q<=I2;WHEN“11”=>Q<=I3;WHENOTHER=>NULL;ENDCASE;ABQ00011011I0I1I2I3

7、五、思考题请在上程序基础上加入一个使能端G。当G=0时,选择器不工作,不进行任何选择;只有G=1时,选择器才开始工作,按照信号选择控制端的要求选择信号进行传输。四选一数据选择器QI0I1I2I3公共通道(同一时间内只可允许一个信号通过)信号传输目的地信号选择控制端AB使能端G

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。