二进制振幅键控(ASK)调制器与解调器设计.ppt

二进制振幅键控(ASK)调制器与解调器设计.ppt

ID:52341583

大小:183.50 KB

页数:25页

时间:2020-04-04

二进制振幅键控(ASK)调制器与解调器设计.ppt_第1页
二进制振幅键控(ASK)调制器与解调器设计.ppt_第2页
二进制振幅键控(ASK)调制器与解调器设计.ppt_第3页
二进制振幅键控(ASK)调制器与解调器设计.ppt_第4页
二进制振幅键控(ASK)调制器与解调器设计.ppt_第5页
资源描述:

《二进制振幅键控(ASK)调制器与解调器设计.ppt》由会员上传分享,免费在线阅读,更多相关内容在PPT专区-天天文库

1、8.9二进制振幅键控(ASK)调制器与解调器设计ASK调制方法数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-ShiftKeying)。ASK有两种实现方法:1.乘法器实现法2.键控法1.乘法器实现法乘法器实现法的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器常采用环形调制器。2.键控法键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一

2、个电键来控制载波振荡器的输出而获得。(键控法产生ASK信号原理框图)数字电路实现键控产生ASK信号的实例为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生信号。ASK解调方法有两种1.同步解调法2.包络解调法。1.同步解调同步解调也称相干解调,信号经过带通滤波器抑制来自信道的带外干扰,乘法器进行频谱反向搬移,以恢复基带信号。低通滤波器用来抑制

3、相乘器产生的高次谐波干扰。(1)发“1”码时情况发“1”码时,输入的ASK信号为,它能顺利地通过带通滤波器。为零均值的高斯白噪声,经过带通滤波器后变为窄带高斯噪声,用表示。经过低通滤波器后,输出信号为x(t),也就是取样判决器的输入信号。(2)发“0”码时情况发“0”码时,ASK信号输入为0,噪声仍然存在,经过低通滤波器后,输出信号为x(t),x(t)也是取样判决器的输入信号。综合上面的分析,可得下面讨论判决问题。若没有噪声,上式简化为此时判决电平取0~A的中间值A/2,大于A/2判为“1”码,小于A/2判为“0”

4、码。在无噪声时,判决一定是正确的。2.包络解调包络解调是一种非相干解调(ASK包络解调方框图)发“1”码时的情况包络检波器的输入为,为信号加窄带高斯噪声,输出为信号加窄带高斯噪声的包络,它服从莱斯分布,如左图所示。其概率密度为发“0”码时的情况包络检波器输入为,输出则为的包络,即噪声的包络,它服从瑞利分布,如上页图所示。其概率密度为与同步解调相似,为使误码率最小,判决电平应和的交点的横坐标值,如图中,称为最佳门限,经分析,得到当信噪比(即大信噪比)时,ASK调制VHDL程序及仿真ASK调制方框图注:图中没有包含模拟

5、电路部分,输出信号为数字信号。ASK调制电路符号ASK调制VHDL程序--文件名:ASK.vhd--功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制--最后修改日期:2004.3.16libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityASKisport(clk:instd_logic;--系统时钟start:instd_logic;--开始调制信号

6、x:instd_logic;--基带信号y:outstd_logic);--调制信号endASK;architecturebehavofASKissignalq:integerrange0to3;--分频计数器signalf:std_logic;--载波信号beginprocess(clk)beginifclk'eventandclk='1'thenifstart='0'thenq<=0;elsifq<=1thenf<='1';q<=q+1;--改变q后面数字的大小,就可以改变载波信号的占空比elsifq=3the

7、nf<='0';q<=0;--改变q后面数字的大小,就可以改变载波信号的频率elsef<='0';q<=q+1;endif;endif;endprocess;y<=xandf;--对基带码进行调制endbehav;ASK调制VHDL程序仿真图及注释注:a.基带码长等于载波f的6个周期。b.输出的调制信号y滞后于输入基带信号x一个clk时间。ASK解调VHDL程序及仿真ASK解调VHDL程序--文件名:ASK2--功能:基于VHDL硬件描述语言,对ASK调制信号进行解调--最后修改日期:2004.2.12librar

8、yieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityASK2isport(clk:instd_logic;--系统时钟start:instd_logic;--同步信号x:instd_logic;--调制信号y:out

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。