选多路选择器.doc

选多路选择器.doc

ID:52721606

大小:1.40 MB

页数:7页

时间:2020-03-29

选多路选择器.doc_第1页
选多路选择器.doc_第2页
选多路选择器.doc_第3页
选多路选择器.doc_第4页
选多路选择器.doc_第5页
资源描述:

《选多路选择器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA实验二4选1多路选择器设计实验一、实验目的进一步熟悉QuartusII的VHDL文本设计流程、组合电路的设计仿真和测试。二、实验内容实验内容一:根据4.1流程,利用QuartusII完成四选一多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。b5E2RGbCAP实验内容二:对VHDL不同描述方式的四选一多路选择器进行硬件实验,比较他们的特性。三、实验记录1.when-else语句设计的4选1多路选择器a>.利用when-else语句的vhdl程序libraryieee。useieee.std_logic_1164.all。e

2、ntitymux41aisport(a,b,c,d,s0,s1:instd_logic。y:outstd_logic>。endentitymux41a。architectureoneofmux41aisbeginy<=awhens0='0'ands1='0'elsebwhens0='1'ands1='0'elsecwhens0='0'ands1='1'elsed。endarchitectureone。备注以上是when-else语句设计的4选1多路选择器的vhdl描述。程序中应该注意的有以下几点A.一:实体的命名要和工程名相同,并且不能

3、是中文的或者以数字开头;B.二:when-else语句具有最高赋值优先级;b>.when-else语句设计的4选1多路选择器的RTL图7/7图<1)when-else语句设计的4选1多路选择器的RTL图c>.when-else语句设计的4选1多路选择器的时序仿真波形图图<2)when-else语句设计的4选1多路选择器的时序仿真波形图d>.when-else语句设计的4选1多路选择器功能仿真波形图图<3)when-else语句设计的4选1多路选择器功能仿真波形图1.if-then语句设计的4选1多路选择器a>.利用when-else语句

4、的vhdl程序libraryieee。useieee.std_logic_1164.all。entitymux41bisport(a,b,c,d,s0,s1:instd_logic。y:outstd_logic>。endentitymux41b。7/7architectureoneofmux41bisbeginprocess(a,b,c,d,s0,s1>beginifs0='0'ands1='0'theny<=a。endif。ifs0='1'ands1='0'theny<=b。endif。ifs0='0'ands1='1'theny<=

5、c。endif。ifs0='1'ands1='1'theny<=d。endif。endprocess。endarchitectureone。备注:以上是if—then语句设计的4选1多路选择器的vhdl描述。值得注意以下几点:A.程序开头应该包含std_logic_1164.all这个程序库包添加进去<由于在定义端口是端口号的类型为std_logic);p1EanqFDPwB.进程语句应该将能够导致本进程启动的信号加到进程后的敏感信号表中,这能才能使得进程更加具有一般意义;C.每一条的if-then语句后都应该以endif结束;b>.i

6、f-then语句设计的4选1多路选择器的RTL图图<4)if-then语句设计的4选1多路选择器的RTL图`c>.if-then语句设计的4选1多路选择器的时序仿真波形图图<5)if-then语句设计的4选1多路选择器的时序仿真波形图d>.if-then语句设计的4选1多路选择器的功能仿真波形图7/7图<6)if-then语句设计的4选1多路选择器的功能仿真波形图1.case语句设计的4选1多路选择器a>.利用case语句的vhdl程序libraryieee。useieee.std_logic_1164.all。entitymux41d

7、isport(a,b,c,d,s0,s1:instd_logic。y:outstd_logic>。endentitymux41d。architectureoneofmux41dissignals:std_logic_vector(1downto0>。begins<=s0&s1。process(s>begincasesiswhen"00"=>y<=a。when"10"=>y<=b。when"01"=>y<=c。when"11"=>y<=d。whenothers=>null。endcase。endprocess。endarchitectur

8、eone。b>.case语句设计的4选1多路选择器的RTL图7/7图<7)case语句设计的4选1多路选择器的RTL图c>.case语句设计的4选1多路选择器的时序仿真图图<8)case语句设计的4选1多路

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。