毕业设计(论文)-基于eda技术的卷积码编码器的设计

毕业设计(论文)-基于eda技术的卷积码编码器的设计

ID:5444604

大小:1.02 MB

页数:24页

时间:2017-12-12

毕业设计(论文)-基于eda技术的卷积码编码器的设计_第1页
毕业设计(论文)-基于eda技术的卷积码编码器的设计_第2页
毕业设计(论文)-基于eda技术的卷积码编码器的设计_第3页
毕业设计(论文)-基于eda技术的卷积码编码器的设计_第4页
毕业设计(论文)-基于eda技术的卷积码编码器的设计_第5页
资源描述:

《毕业设计(论文)-基于eda技术的卷积码编码器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)题目基于EDA技术的卷积码编码器的设计专业信息工程学生姓名班级 07-2指导教师职称 讲师助教 所在单位信息工程教研室 教研室主任 完成日期2011年6月18日摘要本文简明地介绍了卷积码的编码原理,首先通过对卷积码的原理进行分析,然后EDA技术设计卷积码编码器。卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(3,1,2)用于现代卫星通信系统中。卷积码是一种性能优越的信道编码。它的编码器比较容易实现,同时它具有较强的纠错能力。随着纠错编码理论研究的不断深入,卷积码的实际应用越来越广泛。卷积码作为通信系统中重要的编码方式,以其良好的编码性能

2、,合理的译码方式,被广泛应用。在阐述卷积码编码器基本工作原理的基础上,给出了(3,1,2)卷积编码器的VHDL设计,在QuartusⅡ环境下进行了波形仿真,并下载到EPF10K10LC84-3上进行了验证,其结果表明了该编码器的正确性和合理性。关键词:卷积编码器QuartusⅡ仿真VHDLABSTRACTThisarticlebrieflyintroducestheprincipleofconvolutioncodecoding,firstbytheprincipleofconvolutioncodeforanalysis,andthenEDAtechnologydesig

3、nconvolutioncodeencoder.Convolutioncodeisakindofimportantpriortochannelcodingerrorcorrection,thecorrectionwaybetterthantheperformanceisoftenblockcode,and(3,1,2)usedinmodernsatellitecommunicationsystem.Convolutioncodeisakindofthesuperiorperformanceofchannelcoding.It'seasiertoencoder,thecoll

4、eagueithasstrongabilityoftheerrorcorrection.Alongwiththeerrorcorrectioncodingtheorystudyunceasinglythorough,thepracticalapplicationofconvolutioncodemoreandmorewidely.Convolutioncodeasacommunicationsystemimportantcodingmethod,withitsgoodcodingperformance,reasonabledecodingway,hasbeenwidelyu

5、sed.Inthispapertheconvolutioncodeencoderbasedontheprincipleofaregiven,andthe(3,1,2)convolutionencoderdesign,inQuartusⅡVHDLenvironment,anddownloadthewaveformsimulationtoEPF10K10LC84-threetothetestandtheresultsshowthecorrectnessandrationalityoftheencoder.Keywords:ConvolutioncodeencoderQuartu

6、sⅡThesimulationVHDL目录1前言12卷积编码器22.1卷积码的概述22.2卷积码编码的概念22.2.1卷积编码32.2.2卷积码的树状图42.2.3卷积码的网格图53EDA技术以及辅助工具的介绍53.1EDA技术概述53.1.1EDA技术的概述53.1.2EDA技术的发展趋势63.1.3EDA设计方法及工具软件63.2VHDL语言的介绍73.2.1VHDL语言介绍73.2.2VHDL语言特性、功能与特点73.3EDA工具QUARTUSⅡ83.3.1QUARTUSⅡ的简介83.3.2QUARTUSⅡ的应用简介94改变卷积编码器的参数仿真以及结论134.1不同回

7、溯长度对卷积编码器性能的影响134.2不同码率对卷积编码器误码性能的影响144.3不同约束长度对卷积编码器的误码性能影响155卷积码编码器的VHDL设计与仿真175.1VHDL设计的优点与设计方法175.2卷积码编码器的VHDL实现175.2.1卷积编码器顶层建模的VHDL描述17结论19谢辞20参考文献21大连交通大学信息工程学院2011届本科生毕业设计(论文)1前言随着现代通信的发展,高速信息传输和高可靠性传输成为信息传输的两个主要方面,而可靠性尤其重要。卷积码以其高速性和可靠性在实际应用中越来越广泛。1967

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。