消抖电路原理及实现.doc

消抖电路原理及实现.doc

ID:55590035

大小:216.50 KB

页数:6页

时间:2020-05-19

消抖电路原理及实现.doc_第1页
消抖电路原理及实现.doc_第2页
消抖电路原理及实现.doc_第3页
消抖电路原理及实现.doc_第4页
消抖电路原理及实现.doc_第5页
资源描述:

《消抖电路原理及实现.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验报告实验日期:学号:姓名:实验名称:消抖电路总分:一、实验概述运用LPM原件定制DFF触发器,并调用LPM定制的DFF触发器,用VHDL语言的元件例化实现消抖电路并了解其工作原理。二、实验原理1、触发器原理触发器是一种可存储1位二进制码的逻辑电路,是构成各种时序电路的最基本逻辑单元。触发器有一对互补输出端,输出状态不仅与当前输入有关,还与前一输出状态有关。触发器有两个稳定状态,在一定的外界信号作用下会发生状态翻转。2、消抖电路原理脉冲按键与电平按键通常采用机械式开关结构,其核心部件为弹性金属簧片。按键信号在开关拨片与触电接触后经多次弹跳才会稳定,而在按键过程中,可能

2、出现了多个脉冲。因此需要根据实际情况进行按键消抖处理以提取稳定脉冲,在按键过程中提取稳定的电平状态,通过对抖动脉冲多次检测信号按键电平值,并提取一前一后两个信号按键电平值来进行比较,以此来获取开关状态。输出一个周期的脉冲时,要求前一次检测到的电平信号为低电平,后一次检测到的电平信号为高电平时。3、结构图:元件记忆上一次按键信号电平时钟信号元件记忆当前的按键信号电平两次按键的电平进行比较脉冲信号三、实验设计1、LPM元件定制DFF触发器(1)设置lpm_ff元件选择InstalledPlug-Ins→Storage→lpm_ff项。(2)LPM元件定制步骤,设置输入dat

3、a为1位,clock为时钟信号,类型为D型。(3)添加异步清零和异步置1。(4)aclr异步清零且高电平有效,aset异步置1且高电平有效,二者无效时,q输出由clock上升沿触发更新为data。(5)调出其vhd文件添加至消抖电路的工程中。(6)仿真验证并下载。功能仿真波形分析参数:endtime为2.0ns,gridsize为100ns;信号:alcr异步清零且高电平有效,二进制;aset异步置1且高电平有效,二进制;二者无效,q(二进制)输出由clock(二进制)上升沿触发更新为data(二进制)。aclr有效,aset无效,清零得q输出0;aclr和aset均无

4、效,q输出0;clock上升时,触发更新为data,q输出0;aclr和aset均无效,q输出1;clock上升时,触发更新为data,q输出1;aclr异步清零,q输出0aclr和aset均无效,q输出1;clock上升时,触发更新为data,q输出0aclr输入无效,aset输入有效,q输出12、VHDL语言元件例化。libraryieee;useieee.std_logic_1164.all;entityxiaodouisport(clk:instd_logic;d_in:instd_logic;clk_out:outstd_logic);endxiaodou;a

5、rchitecturexiaodou_archofxiaodouissignals1,s2,s3:std_logic;componentxiaodou0isport(clock:instd_logic;data:instd_logic;q:outstd_logic);endcomponentxiaodou0;beging1:xiaodou0portmap(clk,d_in,s1);g2:xiaodou0portmap(clk,s1,s2);s3<=nots2;clk_out<=s1ands3;endxiaodou_arch;仿真波形分析参数:endtime为2.0ns,

6、gridsize为10ns。信号功能:clk:二进制时钟信号;脉冲信号抖动,产生多个不稳定脉冲d_in:二进制脉冲信号;clk_out:二进制输出信号。时钟信号上升沿,按键按下一段时间后脉冲信号恒定为1,q输出0时钟信号上升沿,脉冲信号为0,按键按下后脉冲信号从0→1,q输出1,功能仿真:时序仿真:结论:clk_out输出捕捉时钟信号上升沿的输入值,并保持不变,直至捕捉到下一个时钟信号上升沿的输入值。按键抖动,d_in脉冲信号不稳定时,clk_out输出保持稳定,实现消除抖动的目的。四、管脚分配(小实验板)clkinput----PIN_125d_ininput----

7、PIN_33clk_outoutput---PIN_86五、下载验证(小实验板)现象说明:每次按下PIN_33脉冲按键,PIN_86亮灯并且亮一段时间后熄灭,不再重复。结论:此电路实现消抖作用。分析:clk的时钟信号的频率要适宜,否则难以判定亮灯现象是否正确。若频率过高,按键后观测到PIN_86发光二极管亮一段时间后,后续亮灯间断明显;若频率过低,按键后PIN_86不会亮灯。六、实验日志1、编写消抖电路的vhdl代码时,忘记将DFF的VHDL文件复制到消抖电路的文件夹中,便直接调用DFF,使得编译无法通过;2、编写代码前,认真仔细的学习了

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。