每层电梯的口处设有上下请求开关.doc

每层电梯的口处设有上下请求开关.doc

ID:55608283

大小:30.50 KB

页数:11页

时间:2020-05-20

每层电梯的口处设有上下请求开关.doc_第1页
每层电梯的口处设有上下请求开关.doc_第2页
每层电梯的口处设有上下请求开关.doc_第3页
每层电梯的口处设有上下请求开关.doc_第4页
每层电梯的口处设有上下请求开关.doc_第5页
资源描述:

《每层电梯的口处设有上下请求开关.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、1、  每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。2、  设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。3、  电梯每秒升降一层。4、  电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。5、  能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。6、  电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行

2、,直到最后一个上楼请求执行完毕,如更高层有下楼请求时,则直接升到有下降请求的最高楼接客,然后进入下降模式,但电梯处于下降模式时,则与上升模式相反。7、  电梯初始状态为一层门开。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityled1isport(ledin:instd_logic_vector(3downto0);ledout:outstd_logic_vector(6downto0));endled1;arch

3、itecturea_ledofled1isbeginprocess(ledin)begincaseledinis--Thesequenceis"gfedcba"when"0000"=>ledout<="0111111";--"show0"when"0001"=>ledout<="0000110";--"show1"when"0010"=>ledout<="1011011";--"show2"when"0011"=>ledout<="1001111";--"show3"when"0100"=>ledout<="1100

4、110";--"show4"when"0101"=>ledout<="1101101";--"show5"when"0110"=>ledout<="1111101";--"show6"when"0111"=>ledout<="0000111";--"show7"when"1000"=>ledout<="1111111";--"show8"when"1001"=>ledout<="1101111";--"show9"when"1010"=>ledout<="1110111";--"show10"when"1011"=>

5、ledout<="1111100";--"show11"when"1100"=>ledout<="0111001";--"show12"when"1101"=>ledout<="1011110";--"show13"when"1110"=>ledout<="1111001";--"show14"when"1111"=>ledout<="1110001";--"show15"whenothers=>ledout<="XXXXXXX";--必须有,Hereitis'X',singlequoteendcase;endpro

6、cess;enda_led;libraryIEEE;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;useIEEE.std_logic_unsigned.all;entitylift1isport(clk:inSTD_LOGIC;--2hz信号upin:inSTD_LOGIC;--上升请求键downin:inSTD_LOGIC;--下降请求键st_ch:inSTD_LOGIC;--楼层选择键close:inSTD_LOGIC;--提前关门键delay:in

7、STD_LOGIC;--延时关门键run_stop:inSTD_LOGIC;--电梯运行开关lamp:outSTD_LOGIC;--运行或停止灯run_waitdis:outSTD_LOGIC_VECTOR(6downto0);--运行或等待时间st_outdis:outSTD_LOGIC_VECTOR(6downto0);--电梯所在楼层指示directdis:outSTD_LOGIC_VECTOR(6downto0)--楼层选择指示);endlift1;architecturelift1_archoflift1i

8、scomponentled1port(ledin:instd_logic_vector(3downto0);ledout:outstd_logic_vector(6downto0));endcomponent;signalur,dr:STD_LOGIC_VECTOR(6downto1);signaldir,liftor:integerrange

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。