电工与电子技术项目教程教学课件作者张静项目7.ppt

电工与电子技术项目教程教学课件作者张静项目7.ppt

ID:55784573

大小:2.04 MB

页数:69页

时间:2020-06-01

电工与电子技术项目教程教学课件作者张静项目7.ppt_第1页
电工与电子技术项目教程教学课件作者张静项目7.ppt_第2页
电工与电子技术项目教程教学课件作者张静项目7.ppt_第3页
电工与电子技术项目教程教学课件作者张静项目7.ppt_第4页
电工与电子技术项目教程教学课件作者张静项目7.ppt_第5页
资源描述:

《电工与电子技术项目教程教学课件作者张静项目7.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、项目七8路抢答器的制作与调试任务一组合逻辑电路的分析与设计任务二编码器及其逻辑功能的测试任务三译码器逻辑功能的测试任务四编译码显示电路的制作任务五8路改进型抢答器的制作与调试返回任务一组合逻辑电路的分析与设计一、组合逻辑电路的分析所谓逻辑电路的分析,是指已知逻辑电路,找出输出函数与输入变量之间的逻辑关系。分析方法的具体步骤如下:(1)由给定的逻辑图,从输入到输出逐级写出逻辑函数表达式。(2)对逻辑表达式进行化简(公式法或卡诺图法)。(3)根据最简逻辑表达式列真值表。(4)根据真值表中逻辑变量和函数的取值规律来分析电路的

2、逻辑功能。下一页返回任务一组合逻辑电路的分析与设计以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。在实际工作中,可以用实验的方法测出输出与输入逻辑状态的对应关系,从而确定电路的逻辑功能。分析组合逻辑电路的目的是确定已知电路的逻辑功能,或者检查电路设计是否合理。二、组合逻辑电路的设计(一)设计组合逻辑电路组合逻辑电路的设计,就是根据逻辑功能的要求,设计出能实现该功能的,采用器件数最少的最佳电路。设计的一般步骤如下:(1)分析要求。根据设计要求中提出的逻辑功能,确定输入变量、输出变量以及它们之间的相互关系,

3、并对输入与输出进行逻辑赋值,即确定什么情况是逻辑“1”,什么情况是逻辑“0”。上一页下一页返回任务一组合逻辑电路的分析与设计(2)列真值表。根据输入信号状态和输出函数状态之间的对应关系列出真值表。列真值表时,凡属不会出现或不允许出现的输入信号状态组合和输入变量取值组合可以不列出,如果列出,则应在相应输出处记上х号。(3)写出逻辑表达式并化简。根据真值表写出逻辑表达式,用公式法或卡诺图法进行化简,并转换成所要求的逻辑表达式。(4)画逻辑图。根据化简和变换后的输出函数逻辑表达式画出逻辑图。在实际设计中,上述步骤并不是固定不

4、变的,可根据具体情况灵活应用。上一页下一页返回任务一组合逻辑电路的分析与设计(二)运用Multisim10仿真检验图7-6所示电路1.仿真目的(1)通过仿真来验证该电路的正确性。(2)进一步熟悉仿真软件的使用。2.仿真步骤及操作(1)进入Multisim10用户操作界面。(2)按图7-7所示电路从Multisim10元器件库、仪器仪表库选取相应器件和仪器,连接电路并进行标识和设置。①单击Sources图标,从它们的器件列表中选出与门、与非门和或门。上一页下一页返回任务一组合逻辑电路的分析与设计②单击Basic图标,从它

5、们的器件列表中选出SPDT开关。③单击指示器件库图标,拽取PROBE逻辑指示灯。④单击VCC与GROUND。(三)用74LS10实现3人表决器的接线利用实验台和两块三输入与非门74LS10搭建图7-6所示电路。电路接线如图7-8所示。分别改变A、B、C开关的位置,从而改变输入信号,观察指示灯的变化来判断该电路的逻辑功能。上一页返回任务二编码器及其逻辑功能的测试一、二进制编码器在数字系统中,二进制数码不仅可以表示数值的大小,而且常用于表示特定的信息。建立这种代码与图形、文字、符号或特定对象之间一一对应关系的过程,就称为编

6、码。如在开运动会时,每个运动员都有一个号码,这个号码只用于表示不同的运动员,并不表示数值大小。实现编码功能的电路,称为编码器。按照编码方式不同,编码器可分为普通编码器和优先编码器。按照输出代码种类的不同,可分为二进制编码器和非二进制编码器。下一页返回任务二编码器及其逻辑功能的测试二进制编码器的输入信号的个数N与输出变量的位数n满足N=2n;非二进制编码器的输入信号的个数N与输出变量的位数n不满足N≠2n。普通编码器任何时刻只能对其中一个输入信息进行编码,即输入的N个信号是互相排斥的。二、优先编码器(一)74LS148优

7、先编码器芯片介绍为了解决多个输入同时有效的问题,可采用优先编码方式。优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的信号进行编码,而且使用方便、运行可靠,对输入信号无特别要求,因此得到广泛应用。上一页下一页返回任务二编码器及其逻辑功能的测试74LS148是一种8线-3线优先编码器,其引脚图如图7-10所示,功能表如表7-4所示。对于输入与输出信号而言,有高电平和低电平有效之分,实际应用中多采用低电平有效信号。(二)74LS148仿真测试1.创建8线-3线优先编码器实验电路(1)进入Multisim10.

8、0用户操作界面。(2)按图7-11所示电路从Multisim10.0元器件库、仪器仪表库选取相应器件和仪器,并连接电路。①从TTL元器件库中选择74LS系列,从弹出窗口的器件列表中选取74LS148。上一页下一页返回任务二编码器及其逻辑功能的测试②单击虚拟仪器库图标,分别拖出函数信号发生器、字信号发生器和逻辑信号分析仪。其中,用函

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。