直流电源模块并联均衡扩流系统.doc

直流电源模块并联均衡扩流系统.doc

ID:56309294

大小:59.50 KB

页数:2页

时间:2020-06-22

直流电源模块并联均衡扩流系统.doc_第1页
直流电源模块并联均衡扩流系统.doc_第2页
资源描述:

《直流电源模块并联均衡扩流系统.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、直流电源模块并联均衡扩流系统一、任务设计并制作一个由两个6VDC/DC模块构成的并联供电系统(见图1)。二、要求1.基本要求(1)调整负载电阻至额定输出功率工作状态,供电系统的直流输出电压U0=6.0±0.3V。(15分)(2)调整负载电阻,保持输出电压U0=6.0±0.3V,使两个模块输出电流之和I0=1.0A且按I1:I2=1:1模式自动分配电流,每个模块的输出电流的相对误差绝对值不大于5%。(10分)(3)调整负载电阻,保持输出电压U0=6.0±0.3V,使两个模块输出电流之和I0=1.5A且按I1:I2=1:1模式自动分配电流,每个模块输出电流的相对误差绝对

2、值不大于5%。(10分)(4)额定输出功率工作状态下,供电系统的效率不低于60%。(10分)2.发挥部分(1)调整负载电阻,保持输出电压U0=6.0±0.3V,使负载电流I0在1.5~3.5A之间变化时,两个模块的输出电流按I1:I2=1:1自动分配,每个模块的输出电流相对误差的绝对值不大于2%。(15分)(2)调整负载电阻,保持输出电压U0=6.0±0.3V,使两个模块输出电流之和I0=4.0A且按I1:I2=1:1模式自动分配电流,每个模块的输出电流的相对误差的绝对值不大于2%。(10分)(3)具有负载短路保护及自动恢复功能,保护阈值电流为4.5A(调试时允许有

3、±0.2A的偏差)。(10分)3.设计报告(20分)项目主要内容满分方案论证比较与选择方案描述3理论分析与计算DC/DC变换器稳压方法;电流电压检测;均流方法;过流保护。6电路与程序设计主回路与器件选择其它控制电路与控制程序(若有)6测试方案与测试结果测试方案及测试条件测试结果及其完整性测试结果分析3设计报告结构及规范性摘要、报告正文结构、公式、图表的完整性和规范性2总分20三、说明1.不允许使用线性电源及成品的DC/DC模块;2.供电系统含测控电路并由UIN供电,其能耗纳入系统效率计算;3.除负载电阻为手动调整以及发挥部分(1)由手动设定电流比例外,其他功能的测试

4、过程均不允许手动干预;4.电源应能在测试过程中连续安全工作。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。