基于某QUARTUS地EDA课程设计数字频率计地仿真.doc

基于某QUARTUS地EDA课程设计数字频率计地仿真.doc

ID:56523584

大小:249.00 KB

页数:18页

时间:2020-06-27

基于某QUARTUS地EDA课程设计数字频率计地仿真.doc_第1页
基于某QUARTUS地EDA课程设计数字频率计地仿真.doc_第2页
基于某QUARTUS地EDA课程设计数字频率计地仿真.doc_第3页
基于某QUARTUS地EDA课程设计数字频率计地仿真.doc_第4页
基于某QUARTUS地EDA课程设计数字频率计地仿真.doc_第5页
资源描述:

《基于某QUARTUS地EDA课程设计数字频率计地仿真.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、成绩评定表学生班级学号专业课程设计题目评语组长签字:成绩日期201年月日课程设计任务书学院专业学生班级学号课程设计题目实践教学要求与任务:一、容及要求:利用所学的EDA设计方法设计数字频率计,熟练使用使用QUARTUSII应用软件,进一步学习使用VHDL语言、原理图等EDA设计方法进行综合题目的方法。1调试底层模块,并时序仿真。2.设计顶层模块,并时序仿真。3.撰写课程设计报告,设计报告要求及格式见附件。二、功能要求:设计一个思维十进制的数字频率计。要求具有以下功能;(1)测量围:1HZ~10HZ。(2)测量误差≤1/(3)响应时间≤15s。(4)显

2、示时间不小于1s。(5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电路。工作计划与进度安排:课程设计时间为10天(2周)1、调研、查资料1天。2、总体方案设计2天。3、代码设计与调试5天。4、撰写报告1天。5、验收1天。指导教师:201年月日专业负责人:201年月日学院教学副院长:201年月日目录1.设计要求22、设计目的23.总体设计思路及解决方案23.1相关知识23.3、设计思路及解

3、决方案44.分层次方案设计及代码描述54.1.底层程序源码54.2顶层程序源码105.各模块的时序仿真结果126.设计心得15数字频率计课程设计1.设计要求设计一个四位十进制的数字频率计。要求具有以下功能:(1)测量围:1HZ~10HZ。(2)测量误差≤1/(3)响应时间≤15s。(4)显示时间不小于1s。(5)具有记忆显示的功能。即在测量过程中不刷新数据。等数据过程结束后才显示测量结果。给出待测信号的频率值。并保存到下一次测量结束。(6)包括时基产生与测评时序控制电路模块。以及待测信号脉冲计数电路模块和锁存与译码显示控制电路。2、设计目的通过综合性

4、课程设计题目的完成过程,运用所学EDA知识,解决生活中遇到的实际问题,达到活学活用,所学为所用的目的,进一步理解EDA的学习目的,提高实际应用水平。本次设计的数字频率计具有精度高、使用方便、测量迅速、便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字频率计主要包括时基产生与测评时序控制电路模块、待测信号脉冲计数电路、译码显示与锁存控制电路模块。3.总体设计思路及解决方案3.1相关知识QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescript

5、ionLanguage)等多种设计输入形式,嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。此

6、外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在QuartusII中包含了许多诸如SignalTapII、Chip

7、Editor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使用方法。AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、MentorGraphics、Synopsys和Synpl

8、icity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。