并行二进制、BCD码加法器VHDL设计.doc

并行二进制、BCD码加法器VHDL设计.doc

ID:56758995

大小:30.00 KB

页数:2页

时间:2020-07-07

并行二进制、BCD码加法器VHDL设计.doc_第1页
并行二进制、BCD码加法器VHDL设计.doc_第2页
资源描述:

《并行二进制、BCD码加法器VHDL设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、并行二进制、BCD码加法器一.目的(1)设计一个4位二进制或BCD码加法器。(2)层次化设计方法。二.说明实现一个4位二进制数加法器,用高低电平开关作为输入,用数码管作为输出。三.源程序四位并行加法器的VHDL程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYADD4ISPORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);B:INSTD_LOGIC_VECTOR(3DOWNTO0);CI:INSTD_LOGIC;S:OUTST

2、D_LOGIC_VECTOR(3DOWNTO0);CO:OUTSTD_LOGIC);ENDADD4;ARCHITECTUREBEHAVEOFADD4ISSIGNALSS:STD_LOGIC_VECTOR(4DOWNTO0);SIGNALAA:STD_LOGIC_VECTOR(4DOWNTO0);SIGNALBB:STD_LOGIC_VECTOR(4DOWNTO0);BEGINAA<='0'&A;BB<='0'&B;SS<=AA+BB+CI;CO<=SS(4);S<=SS(3DOWNTO0);ENDBEHAVE;四、仿真结果以A,B,CI为输入信号,S,

3、CO为输出信号。通过编译后的仿真图如下:调用上面设计的加法器设计了一个1位BCD码加法器。VHDL源程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYBCDISPORT(AA,BB:INSTD_LOGIC_VECTOR(3DOWNTO0);SS:OUTSTD_LOGIC_VECTOR(3DOWNTO0);CIN:INSTD_LOGIC;COUT:OUTSTD_LOGIC);ENDBCD;ARCHITECTUREBEHAVEOFBCDISCOM

4、PONENTEDA3PORT(A:INSTD_LOGIC_VECTOR(3DOWNTO0);B:INSTD_LOGIC_VECTOR(3DOWNTO0);S:OUTSTD_LOGIC_VECTOR(3DOWNTO0);CI:INSTD_LOGIC;CO:OUTSTD_LOGIC);ENDCOMPONENT;SIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALQ2:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCOU:STD_LOGIC;SIGNALCOUT1:STD_LOGIC;BEGINU1:EDA

5、3PORTMAP(A=>AA,B=>BB,S=>Q1,CI=>CIN,CO=>COU);PROCESS(AA,BB,CIN)BEGINIF(COU='1')THENQ2<=Q1+6;ELSIF(Q1>9)THENCOUT1<='1';Q2<=Q1-10;ELSIF(Q1<10)THENQ2<=Q1;COUT1<='0';ENDIF;SS<=Q2;COUT<=COUT1;ENDPROCESS;ENDBEHAVE;通过方针后的波形图如下:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。