温度测量和控制电路.doc

温度测量和控制电路.doc

ID:56875792

大小:178.00 KB

页数:18页

时间:2020-07-17

温度测量和控制电路.doc_第1页
温度测量和控制电路.doc_第2页
温度测量和控制电路.doc_第3页
温度测量和控制电路.doc_第4页
温度测量和控制电路.doc_第5页
资源描述:

《温度测量和控制电路.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《电子技术》课程设计报告题目温度测量与控制电路学院(部)电子与控制工程学院专业电子科学与技术班级32050701学生郭鹏学号3205070113指导教师(签字)前言随着数字时代的到来,人们对于温度的测量与控制的要求越来越高,用传统的水银或酒精温度计来测量温度,不仅测量时间长、读数不方便、精度不够高而且功能单一,已经不能满足人们在数字化时代的要求。于是我们提出,测温电路利用温度传感器监测外界温度的变化,通过放大器将温度传感器接收到的信号进行放大,放大到比较有利于我们测量的温度围,然后利用A/D转换器实现模拟信号到数字信号的转换,最后通过编程让FPGA实现8位二进制数与BCD码之间的转化

2、,实现温度的显示;并利用比较器来实现对放大电压信号的控制,从而实现对温度的控制;再者还加载了报警装置,使它的功能更加完善,使用更加方便。本设计是采用了温度的测量、信号放大、A/D转换、温度的显示、温度的控制、报警装置六部分来具体实现上述目的。目录摘要与设计要求………………………………………..4第一章:系统概述……………………………………..5第二章:单元电路设计与分析………………………………51)方案选择………………………………………52)设计原理与参考电路…………………………..61放大电路……………………………….62低通滤波电路………………………….73温度控制电路……………

3、…………….84报警电路……………………………….95A/D转换器……………………………..106译码电路……………………………….11第三章:系统综述、总体电路图…………………….....14第四章:结束语……………………………………….15参考文献……………………………………………….15元器件明细表………………………………………….15收获与体会,存在的问题等……………………………...16温度测量与控制电路摘要:利用传感器对于外界的温度信号进行收集,收集到的信号通过集成运算放大器进行信号放大,放大后的信号经过A/D转换器实现模拟信号与数字信号间的转换,再通过FPGA编程所实现

4、的功能将转换后的数字信号在数码管上显示出来,实现温度测量过程。放大的信号可以与所预定的温度围进行比较,如果超出预定围,则自动实现声光报警功能,实现温度控制过程。关键字:温度测量温度控制信号放大A/D转换声光报警设计要求:1.测量温度围为200C~1650C,精度0.50C;2.被测量温度与控制温度均可数字显示;3.控制温度连续可调;4.温度超过设定值时,产生声光报警。第一章系统概述传感器两端的电压信号变化不大,经过放大电路和滤波电路之后就会形成一个比较大的模拟量。这个模拟量有两个电路使用,一个是AD转换器,另一个就是控制温度电路。当被测温度超过控制温度时控制温度电路就会产生报警信号,

5、驱动报警电路达到报警要求。当然,控制电压分别要接另外两个AD转换器和译码显示电路,达到数码显示的要求。整个系统的设计思路是从A/D转换器出发的,由于A/D转换器的模拟电压输入量需要一个比较大的值,所以传感器的信号要经过放大电路,由于放大电路本身以及传感器外界的干扰因素,在放大电路之后要加一级低通滤波器。经过滤波之后的信号就是我们需要的模拟信号。这个模拟信号分别接两个输入端,一个是A/D转换器的输入端,另一个是控制温度输入端。A/D转换器的数字输出接译码显示电路。系统的硬件流程图如下图所示:第二章单元电路设计与分析1)、方案选择放大电路,低通滤波电路,报警电路以及控制电路相对比较容易实

6、现,在数据采集的过程中,采集到得八位二进制数如何转换成十二位的BCD码,实现起来有些问题,有两种方案可供选择:1采用组合逻辑电路,用74系列的加法器283先形成BCD的一位加法器,再通过级联的方式译码。2用FPGA芯片进行编程,输入为二进制数(并不一定是二进制自然码),输出为12位BCD码。经过比较,第二种方案可行,并且简单,方便易懂。第一种方案很难实现,并且某一个温度所对应的AD转换器的输出量,并不一定是这个温度对应的二进制数自然码。所以想要实现第一种方案,还要另外在AD转换器的输出端加一个八位的加法器,这样会使电路更加复杂。而第二种方案用VHDL编写程序,简单易懂,并且器件的执行

7、速度快,还略去了组合逻辑电路的复杂性。数据转换器的位数也有两种方案可供选择:1采用八位数据转换器。因为测量电路的要:量程为20到165;精确到0.5,这样算下来需要至少产生290个二进制数来表示温度,也就是说AD转换器至少要9位才可以。持这种意见的同学认为,八位AD转换器虽然少了一位,但是可以用比较器额外增加一位。2采用16位的AD转换器。采用16位AD转换器,可以省去数模混合电路的设计,这样电路就会更加简单。所以决定用16位AD转换器,但是只取9位。并且

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。