eda课程设计(论文)-抢答器

eda课程设计(论文)-抢答器

ID:5709866

大小:1.86 MB

页数:11页

时间:2017-12-23

eda课程设计(论文)-抢答器_第1页
eda课程设计(论文)-抢答器_第2页
eda课程设计(论文)-抢答器_第3页
eda课程设计(论文)-抢答器_第4页
eda课程设计(论文)-抢答器_第5页
资源描述:

《eda课程设计(论文)-抢答器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、燕山大学课程设计说明书燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:电子实验中心学号学生姓名专业(班级)设计题目抢答器设计技术参数●五人参赛,每人一个按钮●主持人一个按钮,按下开始,具有复位功能●先抢中者对应的指示灯亮●有人抢答时,蜂鸣5s设计要求●用拨码开关设定主持人及参赛者按钮●用红色信号指示灯组L1-L5表示对应参赛者指示灯工作量●学会使用Max+PlussⅡ软件和实验箱●独立完成电路设计,编程下载,连接电路和调试●参加答辩并写任务书工作计划一、了解EDA的基本知识,学习使用软件Max+PlusllⅡ,下发任务书,开始电路设计;二、学习使用实验箱,继续电路

2、设计;三、完成电路设计;四、编程下载、连接电路、调试和验收5·答辩并写任务书参考资料《数字电子技术基础》.阎石主编.高等教育出版社《EDA课程设计指导书》指导教师签字李婷李艳艳基层教学单位主任签字金海龙说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。2011年3月18日第11页共11页燕山大学课程设计说明书目录一、设计说明………………………………………………………………………11.1设计思路………………………………………………………………………11.2模块介绍………………………………………………………………………11.3状态转换表…………………………………………………

3、……………………3二、原理图………………………………………………………………………4三、波形仿真图……………………………………………………………………5四、管脚锁定及硬件连线…………………………………………………………5五、总结……………………………………………………………………………6参考文献一、设计说明1.1设计思路:拨码开关设定主持人及参赛者按钮,红色信号指示灯组L1-L5表示对应参赛者指示灯。主持人控制总开关,主持人置高电平后,系统进入准备工作。有人抢答时,相应的LED灯发光,同时蜂鸣器开始蜂鸣,蜂鸣5s后停止。但是灯是一直亮着的,直到主持人按复位键后灯才熄灭。先抢者对应的

4、指示灯亮,此后其他人在拨动开关对电路不起作用。此方案由五个高低电平(拨码开关)控制相应的发光二极管,第六个用于主持人复位。由触发器构成抢答器部分,由计数器和蜂鸣器设计蜂鸣5s。因此把整个课题分成两个模块:抢答器、计数器及蜂鸣器。1.2模块介绍:(一)抢答器部分第11页共11页燕山大学课程设计说明书抢答器模块原理图这里使用D触发器,主持人控制各触发器的异步清零端,附加门电路,使一旦有灯亮,就封锁所有触发器的时钟输入。ZCR为置零端,主持人控制,SW1-SW5由每位选手控制。L1-L5为发光二极管,主持人置低电平后,L1-L5都被置零。当主持人置为高电平时,抢答开始,成功者对应的二极管

5、发光,通过与门将CLK信号封锁,并输入到DFF中,则其他选手再按键时,输出不会有影响,则实现了一人抢答后,触发器的时钟输入被封锁,其他人不能再做答。主持人按ZCR清零复位即可进行下一轮抢答。(一)计数器模块及蜂鸣器计数器----蜂鸣器模块原理图第11页共11页燕山大学课程设计说明书4位同步二进制计数器74160的功能表CLKCLRNLDNEPET工作状态×0×××置零↑10××预置数×1101保持×11×0保持(但C=0)↑1111计数要求有人抢答时蜂鸣5s,所以这里采用计数器与蜂鸣器共同完成。74160是同步十进制计数器,进位输出端和有人抢答后或非门输出端的高电平相与,进位输出端

6、依次是111110,当独立扩展下载版CPLD/FPGA的JP2_CF的SPEAKER接高电平时,蜂鸣器工作。所以此处把时钟信号的频率设成1HZ,即当有人拨动开关后,进位信号输出端为高电平,蜂鸣器开始蜂鸣,5s后进位信号为低电平,蜂鸣器停止蜂鸣。1.3状态转换表用74160接成的五进制加法计数器状态转换表状态变化顺序状态编码Q2Q1Q0进位输出等效十进制数S000010第11页共11页燕山大学课程设计说明书S100111S201012S301113S410014S510105S000010二、原理图第11页共11页燕山大学课程设计说明书整体电路原理图简介:DFF的D端始终给高电平,D

7、FF的特性方程为Q*=D,当有人拨动开关时,相应的DFF得到上升沿,开始工作,相应的二极管被点亮,此时通过附加的门电路,时钟信号被锁住,其他人如果再拨动按钮已经不起作用,同时各个触发器Q端相或经过门电路后得到的高电平接到74160的使能端,74160开始计数,进位输出端得到连续五个高电平,CLK信号频率为1HZ,蜂鸣器开始蜂鸣5S,5S后进位信号给出低电平,蜂鸣器停止工作。为了克服计数器的循环计数,即让蜂鸣器蜂鸣5S停止后不再蜂鸣,我们把进位输出端与时钟信号相与后送入

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。