EDA-洗衣机控制器设计.doc

EDA-洗衣机控制器设计.doc

ID:57311606

大小:268.50 KB

页数:12页

时间:2020-08-11

EDA-洗衣机控制器设计.doc_第1页
EDA-洗衣机控制器设计.doc_第2页
EDA-洗衣机控制器设计.doc_第3页
EDA-洗衣机控制器设计.doc_第4页
EDA-洗衣机控制器设计.doc_第5页
资源描述:

《EDA-洗衣机控制器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、沈阳理工大学课程EDA设计题目洗衣机控制器设计院系装备工程学院专业班级探测制导与控制技术学生姓名杜继石学生学号0811020219指导教师钱博2010年12月21日主要内容:设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动®正转20秒®暂停10秒®反转20秒®暂停10秒®定时未到回到“正转20秒®暂停10秒®……”,定时到则停止,同时发出提示音。基本要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动®正转20秒®暂停10秒®反转20秒®暂停10秒®定时未到回到“正转20秒®暂停10秒®……”,

2、定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。一、总体设计思想1、基本原理洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL(硬件描述语言)进行设计,设计分为三层实现

3、,顶层实现整个芯片的功能。顶层和中间层多数是由VHDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。定时启动正转20s暂停10s反转20s暂停10s定时到停止2、设计框图定时时间未到二、设计步骤和调试过程1、总体设计电路洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。具体电路如下图所示:2、模块设计和相应模块程序⑴数码管显示实现数码管显示Libraryiee

4、;Useieee.std_logic_1164.all;EntityencodeisPort(Bcd:instd_logic_vector(3downtoo);A,b,c,d,e,f,g:outstd_logic);Endencode;ArchitecturertlofencodeisSignaltemp:std_logic_vector(6downto0);BeginTableBcd=>temp;"0000"=>"1111110";"0001"=>"0110000""0010"=>"1101101""0011"=>"1111001""0100"=>"0110011""

5、0101"=>"1011011""0110"=>"1011111""0111"=>"1110000""1000"=>"1111111""1001"=>"1111011"Endtable;a<=temp(6);b<=temp(5);c<=temp(4);d<=temp(3);e<=temp(2);f<=temp(1);g<=temp(0);endrtl⑵时序电路Libraryieee;Useieee.std_logic_1164.all;Useieee.std_logic_unsigned.allEntityshixuisPort(cp,en,rd:instd_logic

6、;Q1,q2:outstd_logic);Endshixu;ArchitecturertlofshixuisBeginProcess(cp)Variablewash_time:integerrange0to19;Variablewash_time:integerrange0to9;Variablestate:std_logic;Variablewash_time:integer:=21;Variablewash_time:integer:=9;BeginIf(en=’0’)wash_time:=’19’;wait_time:=’9’;state:=’0’;Endif;i

7、f(en=’0’)thenwash_time:=21;Q1<=’0’;Q2<=’0’;Elseif(cp’eventandcp=’1’)Thenif(rd=’1’)thenif(wash_time>0)Thenwash_time:=20;state:=notstate;Endif;endif;endif;If(wash_time=0)thenQ1<=’0’;Q2<=’0’;elseif(state=’0’)ThenQ1<=’1’;Q2<=’0’;elseQ1<=’0’;Q2<=’1’;Endif;endif;ElseQ1<=’0’;Q2,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。